HomeSort by relevance Sort by last modified time
    Searched defs:Switch (Results 26 - 50 of 105) sorted by null

12 3 4 5

  /prebuilts/clang/host/linux-x86/clang-4479392/include/llvm/Transforms/Utils/
PredicateInfo.h 177 // This is the switch instruction.
178 SwitchInst *Switch;
183 CaseValue(CaseValue), Switch(SI) {}
  /prebuilts/clang/host/linux-x86/clang-4579689/include/llvm/Transforms/Utils/
PredicateInfo.h 178 // This is the switch instruction.
179 SwitchInst *Switch;
184 CaseValue(CaseValue), Switch(SI) {}
  /prebuilts/clang/host/linux-x86/clang-4630689/include/llvm/Transforms/Utils/
PredicateInfo.h 178 // This is the switch instruction.
179 SwitchInst *Switch;
184 CaseValue(CaseValue), Switch(SI) {}
  /prebuilts/clang/host/linux-x86/clang-4639204/include/llvm/Transforms/Utils/
PredicateInfo.h 178 // This is the switch instruction.
179 SwitchInst *Switch;
184 CaseValue(CaseValue), Switch(SI) {}
  /prebuilts/clang/host/linux-x86/clang-4691093/include/llvm/Transforms/Utils/
PredicateInfo.h 178 // This is the switch instruction.
179 SwitchInst *Switch;
184 CaseValue(CaseValue), Switch(SI) {}
  /device/linaro/bootloader/edk2/MdeModulePkg/Bus/Sd/SdBlockIoPei/
SdHci.c 51 switch (Count) {
    [all...]
  /external/swiftshader/third_party/LLVM/lib/Transforms/Scalar/
LoopUnswitch.cpp 375 // If this isn't a switch on Cond, we can't handle it.
378 // Check to see if a successor of the switch is guaranteed to go to the
    [all...]
  /external/swiftshader/third_party/subzero/src/
IceConverter.cpp 225 switch (Instr->getOpcode()) {
242 case Instruction::Switch:
411 switch (Instr->getPredicate()) {
455 switch (Instr->getPredicate()) {
541 Ice::InstSwitch *Switch =
548 Switch->addBranch(CurrentCase, CaseValue, CaseSuccessor);
550 return Switch;
614 switch (I->validateCall(Call, ArgIndex)) {
777 switch (Exp->getOpcode()) {
    [all...]
IceInst.h 64 Switch,
767 /// Switch instruction. The single source operand is captured as getSrc(0).
795 static bool classof(const Inst *Instr) { return Instr->getKind() == Switch; }
    [all...]
WasmTranslator.cpp 25 #pragma clang diagnostic ignored "-Wcovered-switch-default"
68 switch (Type) {
216 switch (Opcode) {
404 switch (Opcode) {
714 switch (Opcode) {
    [all...]
  /external/clang/lib/CodeGen/
CGCleanup.cpp 87 switch (K) {
323 /// given switch instruction.
325 llvm::SwitchInst *Switch,
349 // Don't add this case to the switch statement twice.
353 Switch->addCase(CGF.Builder.getInt32(Fixup.DestinationIndex),
361 /// be a cleanup switch.
364 // If it's a branch, turn it into a switch whose default
373 llvm::SwitchInst *Switch =
376 return Switch;
410 llvm::SwitchInst *Switch = TransitionToCleanupSwitch(*this, BranchBB)
    [all...]
  /external/llvm/lib/Transforms/Scalar/
LoopUnswitch.cpp 753 switch (MD.first) {
    [all...]
  /external/mesa3d/src/gallium/auxiliary/tgsi/
tgsi_exec.h 323 /* Switch-case block state. */
401 /* Current switch-case state. */
402 struct tgsi_switch_record Switch;
423 /** Switch case stack */
490 switch(param) {
  /external/v8/src/compiler/
code-assembler.cc 678 void CodeAssembler::Switch(Node* index, Label* default_label,
689 return raw_assembler()->Switch(index, default_label->label_, case_values,
common-operator.cc 22 switch (hint) {
271 switch (observability) {
313 switch (type) {
781 switch (control_input_count) {
798 switch (value_input_count) {
816 switch (hint) {
886 switch (trap_id) {
905 switch (trap_id) {
    [all...]
wasm-compiler.cc 183 switch (reason) {
268 switch (type) {
526 switch (opcode) {
795 switch (opcode) {
    [all...]
  /frameworks/base/core/java/android/widget/
Switch.java 58 * A Switch is a two-state toggle switch widget that can select between two
61 * property controls the text displayed in the label for the switch, whereas the
70 * the Switch widget which runs on devices back to API 7.</p>
84 public class Switch extends CompoundButton {
127 * Width required to draw the switch track and thumb. Includes padding and
133 * Height required to draw the switch track and thumb. Includes padding and
144 /** Left bound for drawing the switch track and thumb. */
147 /** Top bound for drawing the switch track and thumb. */
150 /** Right bound for drawing the switch track and thumb. *
    [all...]
  /prebuilts/gcc/linux-x86/host/x86_64-w64-mingw32-4.8/x86_64-w64-mingw32/include/
ndr64types.h 350 PNDR64_FORMAT Switch;
  /tools/test/connectivity/acts/framework/acts/controllers/anritsu_lib/
md8475a.py 382 class Switch(Enum):
    [all...]
  /external/llvm/bindings/go/llvm/
ir.go 174 Switch Opcode = C.LLVMSwitch
    [all...]
  /external/annotation-tools/scene-lib/
ant-contrib.jar 
  /external/tensorflow/tensorflow/go/op/
wrappers.go 785 // input: A boolean scalar, representing the branch predicate of the Switch op.
    [all...]
  /frameworks/opt/setupwizard/tools/docs/
jsilver.jar 
  /prebuilts/devtools/tools/lib/
jsilver-1.0.0.jar 
lombok-ast-0.2.3.jar 

Completed in 478 milliseconds

12 3 4 5