Home | History | Annotate | Download | only in testcase
      1 # TODO(go): Fix
      2 
      3 A := a # comment
      4 
      5 ifdef $(A)
      6 $(error FAIL)
      7 else
      8 $(info PASS)
      9 endif
     10 
     11 a := b
     12 ifdef $(A)
     13 $(info PASS)
     14 else
     15 $(error FAIL)
     16 endif
     17 
     18 ifdef a # comment
     19 $(info PASS)
     20 else
     21 $(error FAIL)
     22 endif
     23