Home | History | Annotate | Download | only in amd64
      1 andn64 fedc192837475675 57657438291cdef0 -> a898090016430005 0080
      2 andn64 8efcf23ad7e922f3 452015034e67c955 -> 8adce238918822a2 0080
      3 andn64 7068b90cdf850938 37e901ef56b302d6 -> 4000b80089040928 0000
      4 andn64 42db3e5ed85503a5 2348b20e142667cf -> 40930c50c8510020 0000
      5 andn64 35eea72efbea67d7 1c09d34c26e1c212 -> 21e62422d90a25c5 0000
      6 andn64 232c23d3b476ef47 10ad37922d51847f -> 2300004190266b00 0000
      7 andn64 1bf0c1bf27fbb3ab 0d7b015093984564 -> 1280c0af2463b28b 0000
      8 andn64 11a1311a29a562ea 08cac1103a60926a -> 1121300a01856080 0000
      9 andn64 0e02582b8350ffd0 06fc5681c8cd2b10 -> 0802082a0310d4c0 0000
     10 andn64 0854b4408f5b9e17 04687224d51a87fa -> 081484400a411805 0000
     11 andn64 06bcf33434328063 03809eacb986283a -> 043c611004308041 0000
     12 andn64 0464f596e5f3ab8a 02152e09a7eb825e -> 0460d19640102980 0000
     13 andn64 037dac8063df281c 01af3c969c7725a6 -> 0250800063880818 0000
     14 andn64 0234910d6d0cfe89 01193d5aad28c013 -> 0224800540043e88 0000
     15 andn64 01c0a27d7eaa2575 00df6b2373776c4a -> 0100805c0c880135 0000
     16 andn64 010adda943af43d8 008d24457d4341d1 -> 0102d9a802ac0208 0000
     17 andn64 00d7b2ae8c91c8ce 007028a17fc8b89e -> 0087920e80114040 0000
     18 andn64 008cae284a0c2065 0042b77370e42ef0 -> 008c08080a080005 0000
     19 andn64 006fc6190eb4fc04 0035ecaa6c888c28 -> 004a021102347004 0000
     20 andn64 004686bd6e829ce5 00232b89c5c8c9b6 -> 004484342a021441 0000
     21 andn64 00380a0b248034f1 001bf185a53e82dc -> 00200a0a00803421 0000
     22 andn64 0021536a650d4fc6 0011a1af9c2edaa2 -> 0020524061010544 0000
     23 andn64 001af3d8d0c8c068 000e0282bc13b27b -> 0010f15840c84000 0000
     24 andn64 001193de10460316 000854daa0b4cc02 -> 0011830410420314 0000
     25 andn64 000df6b241dd45c1 0006bcf63e2fbf3d -> 0009420041d040c0 0000
     26 andn64 0008d24469947f91 000464f7852a566a -> 0008920068942991 0000
     27 andn64 0007028a17f7fc21 00037dac915ab5c5 -> 0004020206a54820 0000
     28 andn64 00042b77370e9574 000234911b32831c -> 00040b66240c1460 0000
     29 andn64 00035ecaa6c8cb9c 0001c0a2862c25c1 -> 00021e4820c0ca1c 0000
     30 andn64 000232b89c5ca207 00010addcd657882 -> 0002302010188205 0000
     31 andn64 0001bf185a53fb83 0000d7b2a9b6ab1d -> 0001280852415082 0000
     32 andn64 00011a1af9c2f08e 00008cae2719cda3 -> 00011210d8c2300c 0000
     33 andn64 0000e0282bc137ba 00006fc61694404d -> 00008028294137b2 0000
     34 andn64 0000854daa0b4caf 00004686be70612c -> 00008149000b0c83 0000
     35 andn64 00006bcf63e2fc01 0000380a0af0025a -> 000043c56102fc01 0000
     36 andn64 0000464f7852a469 000021536a829879 -> 0000460c10502400 0000
     37 andn64 000037dac915aa8f 00001af3d8f8abea -> 0000250801050005 0000
     38 andn64 0000234911b3280d 00001193de14a839 -> 0000224801a30004 0000
     39 andn64 00001c0a2862c244 00000df6b24569e5 -> 0000100808228200 0000
     40 andn64 000010addcd6577a 000008d2446cc8f9 -> 0000102d98921702 0000
     41 andn64 00000d7b2a9b6ac9 000007028a18af7d -> 0000087920834080 0000
     42 andn64 000008cae2719cd4 0000042b77359960 -> 000008c080400494 0000
     43 andn64 000006fc61694403 0000035ecaa6d9df -> 000004a021490400 0000
     44 andn64 000004686be70610 00000232b89c662a -> 0000044843630010 0000
     45 andn64 00000380a0af0023 000001bf185a50b0 -> 00000200a0a50003 0000
     46 andn64 0000021536a82984 0000011a1af9c13b -> 0000020524002884 0000
     47 andn64 000001af3d8f8abd 000000e0282bc000 -> 0000010f15840abd 0000
     48 andn64 000001193de14a82 000000854daa0a5a -> 0000011830414080 0000
     49 andn64 000000df6b24569d 0000006bcf63e2b5 -> 0000009420041408 0000
     50 andn64 0000008d2446cc8e 000000464f7852a0 -> 0000008920068c0e 0000
     51 andn64 0000007028a18af6 00000037dac915a7 -> 0000004020208a50 0000
     52 andn64 00000042b7735995 000000234911b322 -> 00000040b6624895 0000
     53 andn64 00000035ecaa6d9d 0000001c0a2862bc -> 00000021e4820d01 0000
     54 andn64 000000232b89c661 00000010addcd664 -> 0000002302010001 0000
     55 andn64 0000001bf185a509 0000000d7b2a9b66 -> 0000001280852409 0000
     56 andn64 00000011a1af9c11 00000008cae27197 -> 00000011210d8c00 0000
     57 andn64 0000000e0282bbfd 00000006fc616943 -> 00000008028292bc 0000
     58 andn64 0000000854daa1a4 00000004686be704 -> 00000008149000a0 0000
     59 andn64 00000006bcf63eb9 0000000380a0aeff -> 000000043c561000 0000
     60 andn64 0000000464f78590 000000021536a868 -> 0000000460c10590 0000
     61 andn64 000000037dac916c 00000001af3d8fad -> 0000000250801040 0000
     62 andn64 0000000234911b32 00000001193de163 -> 0000000224801a10 0000
     63 andn64 00000001c0a2862b 00000000df6b2459 -> 0000000100808222 0000
     64 andn64 000000010addcd65 000000008d2446ca -> 0000000102d98925 0000
     65 andn64 00000000d7b2a9b5 000000007028a18a -> 0000000087920835 0000
     66 andn64 000000008cae2718 0000000042b77358 -> 000000008c080400 0000
     67 andn64 000000006fc61693 0000000035ecaa6c -> 000000004a021493 0000
     68 andn64 000000004686be6e 00000000232b89c5 -> 000000004484362a 0000
     69 andn64 00000000380a0af2 000000001bf185a4 -> 00000000200a0a52 0000
     70 andn64 0000000021536a83 0000000011a1af9b -> 0000000020524000 0000
     71 andn64 000000001af3d8f7 000000000e0282bb -> 0000000010f15844 0000
     72 andn64 000000001193de15 000000000854daa0 -> 0000000011830415 0000
     73 andn64 000000000df6b244 0000000006bcf63d -> 0000000009420040 0000
     74 andn64 0000000008d2446b 000000000464f784 -> 000000000892006b 0000
     75 andn64 0000000007028a18 00000000037dac90 -> 0000000004020208 0000
     76 andn64 00000000042b7735 000000000234911a -> 00000000040b6625 0000
     77 andn64 00000000035ecaa5 0000000001c0a285 -> 00000000021e4820 0000
     78 andn64 000000000232b89b 00000000010addcc -> 0000000002302013 0000
     79 andn64 0000000001bf185a 0000000000d7b2a8 -> 0000000001280852 0000
     80 andn64 00000000011a1af9 00000000008cae26 -> 00000000011210d9 0000
     81 andn64 0000000000e0282a 00000000006fc615 -> 000000000080282a 0000
     82 andn64 0000000000854da9 00000000004686bd -> 0000000000814900 0000
     83 andn64 00000000006bcf62 0000000000380a0a -> 000000000043c560 0000
     84 andn64 0000000000464f77 0000000000215369 -> 0000000000460c16 0000
     85 andn64 000000000037dac9 00000000001af3d7 -> 0000000000250808 0000
     86 andn64 0000000000234910 00000000001193de -> 0000000000224800 0000
     87 andn64 00000000001c0a27 00000000000df6b1 -> 0000000000100806 0000
     88 andn64 000000000010add9 000000000008d242 -> 0000000000102d99 0000
     89 andn64 00000000000d7b28 0000000000070287 -> 0000000000087928 0000
     90 andn64 000000000008cae0 0000000000042b72 -> 000000000008c080 0000
     91 andn64 000000000006fc5f 0000000000035ec7 -> 000000000004a018 0000
     92 andn64 0000000000046871 00000000000232b3 -> 0000000000044840 0000
     93 andn64 000000000003809d 000000000001bf16 -> 0000000000020089 0000
     94 andn64 000000000002152c 0000000000011a1b -> 0000000000020524 0000
     95 andn64 000000000001af3b 000000000000e027 -> 0000000000010f18 0000
     96 andn64 000000000001193c 000000000000854a -> 0000000000011834 0000
     97 andn64 000000000000df6a 0000000000006bce -> 0000000000009420 0000
     98 andn64 0000000000008d23 000000000000464e -> 0000000000008921 0000
     99 andn64 0000000000007026 00000000000037d9 -> 0000000000004026 0000
    100 andn64 00000000000042b3 0000000000002347 -> 00000000000040b0 0000
    101 andn64 00000000000035e9 0000000000001c06 -> 00000000000021e9 0000
    102 andn64 0000000000002329 00000000000010a9 -> 0000000000002300 0000
    103 andn64 0000000000001bef 0000000000000d78 -> 0000000000001287 0000
    104 andn64 00000000000011a3 00000000000008c8 -> 0000000000001123 0000
    105 andn64 0000000000000e02 00000000000006fa -> 0000000000000800 0000
    106 andn64 0000000000000853 0000000000000468 -> 0000000000000813 0000
    107 andn64 00000000000006ba 0000000000000380 -> 000000000000043a 0000
    108 andn64 0000000000000464 0000000000000214 -> 0000000000000460 0000
    109 andn64 000000000000037d 00000000000001ae -> 0000000000000251 0000
    110 andn64 0000000000000233 0000000000000118 -> 0000000000000223 0000
    111 andn64 00000000000001be 00000000000000de -> 0000000000000120 0000
    112 andn64 0000000000000119 000000000000008c -> 0000000000000111 0000
    113 andn64 00000000000000de 000000000000006f -> 0000000000000090 0000
    114 andn64 000000000000008c 0000000000000045 -> 0000000000000088 0000
    115 andn64 000000000000006f 0000000000000037 -> 0000000000000048 0000
    116 andn64 0000000000000045 0000000000000022 -> 0000000000000045 0000
    117 andn64 0000000000000037 000000000000001b -> 0000000000000024 0000
    118 andn64 0000000000000022 0000000000000010 -> 0000000000000022 0000
    119 andn64 000000000000001b 000000000000000c -> 0000000000000013 0000
    120 andn64 0000000000000010 0000000000000007 -> 0000000000000010 0000
    121 andn64 000000000000000c 0000000000000003 -> 000000000000000c 0000
    122 andn64 0000000000000007 0000000000000001 -> 0000000000000006 0000
    123 andn64 0000000000000003 0000000000000000 -> 0000000000000003 0000
    124 andn64 0000000000000001 0000000000000000 -> 0000000000000001 0000
    125 andn64 0000000000000000 0000000000000000 -> 0000000000000000 0040
    126 andn32 fedc192837475675 57657438291cdef0 -> 0000000016430005 0000
    127 andn32 8efcf23ad7e922f3 452015034e67c955 -> 00000000918822a2 0080
    128 andn32 7068b90cdf850938 37e901ef56b302d6 -> 0000000089040928 0080
    129 andn32 42db3e5ed85503a5 2348b20e142667cf -> 00000000c8510020 0080
    130 andn32 35eea72efbea67d7 1c09d34c26e1c212 -> 00000000d90a25c5 0080
    131 andn32 232c23d3b476ef47 10ad37922d51847f -> 0000000090266b00 0080
    132 andn32 1bf0c1bf27fbb3ab 0d7b015093984564 -> 000000002463b28b 0000
    133 andn32 11a1311a29a562ea 08cac1103a60926a -> 0000000001856080 0000
    134 andn32 0e02582b8350ffd0 06fc5681c8cd2b10 -> 000000000310d4c0 0000
    135 andn32 0854b4408f5b9e17 04687224d51a87fa -> 000000000a411805 0000
    136 andn32 06bcf33434328063 03809eacb986283a -> 0000000004308041 0000
    137 andn32 0464f596e5f3ab8a 02152e09a7eb825e -> 0000000040102980 0000
    138 andn32 037dac8063df281c 01af3c969c7725a6 -> 0000000063880818 0000
    139 andn32 0234910d6d0cfe89 01193d5aad28c013 -> 0000000040043e88 0000
    140 andn32 01c0a27d7eaa2575 00df6b2373776c4a -> 000000000c880135 0000
    141 andn32 010adda943af43d8 008d24457d4341d1 -> 0000000002ac0208 0000
    142 andn32 00d7b2ae8c91c8ce 007028a17fc8b89e -> 0000000080114040 0080
    143 andn32 008cae284a0c2065 0042b77370e42ef0 -> 000000000a080005 0000
    144 andn32 006fc6190eb4fc04 0035ecaa6c888c28 -> 0000000002347004 0000
    145 andn32 004686bd6e829ce5 00232b89c5c8c9b6 -> 000000002a021441 0000
    146 andn32 00380a0b248034f1 001bf185a53e82dc -> 0000000000803421 0000
    147 andn32 0021536a650d4fc6 0011a1af9c2edaa2 -> 0000000061010544 0000
    148 andn32 001af3d8d0c8c068 000e0282bc13b27b -> 0000000040c84000 0000
    149 andn32 001193de10460316 000854daa0b4cc02 -> 0000000010420314 0000
    150 andn32 000df6b241dd45c1 0006bcf63e2fbf3d -> 0000000041d040c0 0000
    151 andn32 0008d24469947f91 000464f7852a566a -> 0000000068942991 0000
    152 andn32 0007028a17f7fc21 00037dac915ab5c5 -> 0000000006a54820 0000
    153 andn32 00042b77370e9574 000234911b32831c -> 00000000240c1460 0000
    154 andn32 00035ecaa6c8cb9c 0001c0a2862c25c1 -> 0000000020c0ca1c 0000
    155 andn32 000232b89c5ca207 00010addcd657882 -> 0000000010188205 0000
    156 andn32 0001bf185a53fb83 0000d7b2a9b6ab1d -> 0000000052415082 0000
    157 andn32 00011a1af9c2f08e 00008cae2719cda3 -> 00000000d8c2300c 0080
    158 andn32 0000e0282bc137ba 00006fc61694404d -> 00000000294137b2 0000
    159 andn32 0000854daa0b4caf 00004686be70612c -> 00000000000b0c83 0000
    160 andn32 00006bcf63e2fc01 0000380a0af0025a -> 000000006102fc01 0000
    161 andn32 0000464f7852a469 000021536a829879 -> 0000000010502400 0000
    162 andn32 000037dac915aa8f 00001af3d8f8abea -> 0000000001050005 0000
    163 andn32 0000234911b3280d 00001193de14a839 -> 0000000001a30004 0000
    164 andn32 00001c0a2862c244 00000df6b24569e5 -> 0000000008228200 0000
    165 andn32 000010addcd6577a 000008d2446cc8f9 -> 0000000098921702 0080
    166 andn32 00000d7b2a9b6ac9 000007028a18af7d -> 0000000020834080 0000
    167 andn32 000008cae2719cd4 0000042b77359960 -> 0000000080400494 0080
    168 andn32 000006fc61694403 0000035ecaa6d9df -> 0000000021490400 0000
    169 andn32 000004686be70610 00000232b89c662a -> 0000000043630010 0000
    170 andn32 00000380a0af0023 000001bf185a50b0 -> 00000000a0a50003 0080
    171 andn32 0000021536a82984 0000011a1af9c13b -> 0000000024002884 0000
    172 andn32 000001af3d8f8abd 000000e0282bc000 -> 0000000015840abd 0000
    173 andn32 000001193de14a82 000000854daa0a5a -> 0000000030414080 0000
    174 andn32 000000df6b24569d 0000006bcf63e2b5 -> 0000000020041408 0000
    175 andn32 0000008d2446cc8e 000000464f7852a0 -> 0000000020068c0e 0000
    176 andn32 0000007028a18af6 00000037dac915a7 -> 0000000020208a50 0000
    177 andn32 00000042b7735995 000000234911b322 -> 00000000b6624895 0080
    178 andn32 00000035ecaa6d9d 0000001c0a2862bc -> 00000000e4820d01 0080
    179 andn32 000000232b89c661 00000010addcd664 -> 0000000002010001 0000
    180 andn32 0000001bf185a509 0000000d7b2a9b66 -> 0000000080852409 0080
    181 andn32 00000011a1af9c11 00000008cae27197 -> 00000000210d8c00 0000
    182 andn32 0000000e0282bbfd 00000006fc616943 -> 00000000028292bc 0000
    183 andn32 0000000854daa1a4 00000004686be704 -> 00000000149000a0 0000
    184 andn32 00000006bcf63eb9 0000000380a0aeff -> 000000003c561000 0000
    185 andn32 0000000464f78590 000000021536a868 -> 0000000060c10590 0000
    186 andn32 000000037dac916c 00000001af3d8fad -> 0000000050801040 0000
    187 andn32 0000000234911b32 00000001193de163 -> 0000000024801a10 0000
    188 andn32 00000001c0a2862b 00000000df6b2459 -> 0000000000808222 0000
    189 andn32 000000010addcd65 000000008d2446ca -> 0000000002d98925 0000
    190 andn32 00000000d7b2a9b5 000000007028a18a -> 0000000087920835 0080
    191 andn32 000000008cae2718 0000000042b77358 -> 000000008c080400 0080
    192 andn32 000000006fc61693 0000000035ecaa6c -> 000000004a021493 0000
    193 andn32 000000004686be6e 00000000232b89c5 -> 000000004484362a 0000
    194 andn32 00000000380a0af2 000000001bf185a4 -> 00000000200a0a52 0000
    195 andn32 0000000021536a83 0000000011a1af9b -> 0000000020524000 0000
    196 andn32 000000001af3d8f7 000000000e0282bb -> 0000000010f15844 0000
    197 andn32 000000001193de15 000000000854daa0 -> 0000000011830415 0000
    198 andn32 000000000df6b244 0000000006bcf63d -> 0000000009420040 0000
    199 andn32 0000000008d2446b 000000000464f784 -> 000000000892006b 0000
    200 andn32 0000000007028a18 00000000037dac90 -> 0000000004020208 0000
    201 andn32 00000000042b7735 000000000234911a -> 00000000040b6625 0000
    202 andn32 00000000035ecaa5 0000000001c0a285 -> 00000000021e4820 0000
    203 andn32 000000000232b89b 00000000010addcc -> 0000000002302013 0000
    204 andn32 0000000001bf185a 0000000000d7b2a8 -> 0000000001280852 0000
    205 andn32 00000000011a1af9 00000000008cae26 -> 00000000011210d9 0000
    206 andn32 0000000000e0282a 00000000006fc615 -> 000000000080282a 0000
    207 andn32 0000000000854da9 00000000004686bd -> 0000000000814900 0000
    208 andn32 00000000006bcf62 0000000000380a0a -> 000000000043c560 0000
    209 andn32 0000000000464f77 0000000000215369 -> 0000000000460c16 0000
    210 andn32 000000000037dac9 00000000001af3d7 -> 0000000000250808 0000
    211 andn32 0000000000234910 00000000001193de -> 0000000000224800 0000
    212 andn32 00000000001c0a27 00000000000df6b1 -> 0000000000100806 0000
    213 andn32 000000000010add9 000000000008d242 -> 0000000000102d99 0000
    214 andn32 00000000000d7b28 0000000000070287 -> 0000000000087928 0000
    215 andn32 000000000008cae0 0000000000042b72 -> 000000000008c080 0000
    216 andn32 000000000006fc5f 0000000000035ec7 -> 000000000004a018 0000
    217 andn32 0000000000046871 00000000000232b3 -> 0000000000044840 0000
    218 andn32 000000000003809d 000000000001bf16 -> 0000000000020089 0000
    219 andn32 000000000002152c 0000000000011a1b -> 0000000000020524 0000
    220 andn32 000000000001af3b 000000000000e027 -> 0000000000010f18 0000
    221 andn32 000000000001193c 000000000000854a -> 0000000000011834 0000
    222 andn32 000000000000df6a 0000000000006bce -> 0000000000009420 0000
    223 andn32 0000000000008d23 000000000000464e -> 0000000000008921 0000
    224 andn32 0000000000007026 00000000000037d9 -> 0000000000004026 0000
    225 andn32 00000000000042b3 0000000000002347 -> 00000000000040b0 0000
    226 andn32 00000000000035e9 0000000000001c06 -> 00000000000021e9 0000
    227 andn32 0000000000002329 00000000000010a9 -> 0000000000002300 0000
    228 andn32 0000000000001bef 0000000000000d78 -> 0000000000001287 0000
    229 andn32 00000000000011a3 00000000000008c8 -> 0000000000001123 0000
    230 andn32 0000000000000e02 00000000000006fa -> 0000000000000800 0000
    231 andn32 0000000000000853 0000000000000468 -> 0000000000000813 0000
    232 andn32 00000000000006ba 0000000000000380 -> 000000000000043a 0000
    233 andn32 0000000000000464 0000000000000214 -> 0000000000000460 0000
    234 andn32 000000000000037d 00000000000001ae -> 0000000000000251 0000
    235 andn32 0000000000000233 0000000000000118 -> 0000000000000223 0000
    236 andn32 00000000000001be 00000000000000de -> 0000000000000120 0000
    237 andn32 0000000000000119 000000000000008c -> 0000000000000111 0000
    238 andn32 00000000000000de 000000000000006f -> 0000000000000090 0000
    239 andn32 000000000000008c 0000000000000045 -> 0000000000000088 0000
    240 andn32 000000000000006f 0000000000000037 -> 0000000000000048 0000
    241 andn32 0000000000000045 0000000000000022 -> 0000000000000045 0000
    242 andn32 0000000000000037 000000000000001b -> 0000000000000024 0000
    243 andn32 0000000000000022 0000000000000010 -> 0000000000000022 0000
    244 andn32 000000000000001b 000000000000000c -> 0000000000000013 0000
    245 andn32 0000000000000010 0000000000000007 -> 0000000000000010 0000
    246 andn32 000000000000000c 0000000000000003 -> 000000000000000c 0000
    247 andn32 0000000000000007 0000000000000001 -> 0000000000000006 0000
    248 andn32 0000000000000003 0000000000000000 -> 0000000000000003 0000
    249 andn32 0000000000000001 0000000000000000 -> 0000000000000001 0000
    250 andn32 0000000000000000 0000000000000000 -> 0000000000000000 0040
    251 mulx64 fedc192837475675 57657438291cdef0 -> 5701cd163c20c56b 4759dbe1e1a683b0
    252 mulx64 8efcf23ad7e922f3 452015034e67c955 -> 269c18a4bc2d87fd fc9be05bd69e65af
    253 mulx64 7068b90cdf850938 37e901ef56b302d6 -> 188ccfebb14c9d97 1e4898e8257024d0
    254 mulx64 42db3e5ed85503a5 2348b20e142667cf -> 0936f5b0a0defb8a 76f145a88fb3556b
    255 mulx64 35eea72efbea67d7 1c09d34c26e1c212 -> 05e82c30c30ad6a2 b6e5997887233b1e
    256 mulx64 232c23d3b476ef47 10ad37922d51847f -> 024a8eb40271434e 5e4ba8430ad85039
    257 mulx64 1bf0c1bf27fbb3ab 0d7b015093984564 -> 0178a6a887fa26ba 183e4a80544745cc
    258 mulx64 11a1311a29a562ea 08cac1103a60926a -> 009b0005340efe47 388aefa3aca468e4
    259 mulx64 0e02582b8350ffd0 06fc5681c8cd2b10 -> 0061dd1bb3b344e2 f0e5094e2997ed00
    260 mulx64 0854b4408f5b9e17 04687224d51a87fa -> 0024b8f127951b9c 5e3c55b8812c8376
    261 mulx64 06bcf33434328063 03809eacb986283a -> 0017998060a663c2 ab866fb676528e6e
    262 mulx64 0464f596e5f3ab8a 02152e09a7eb825e -> 000926fda1bc6b13 c805ad9daa4310ac
    263 mulx64 037dac8063df281c 01af3c969c7725a6 -> 0005e168ee5b8464 3342e344b8840e28
    264 mulx64 0234910d6d0cfe89 01193d5aad28c013 -> 00026c3a8645c4dc 2714c8fd3145a42b
    265 mulx64 01c0a27d7eaa2575 00df6b2373776c4a -> 000187894d5c5b97 be4e3fa05d5f2fd2
    266 mulx64 010adda943af43d8 008d24457d4341d1 -> 00009321e5d66804 873fe596fbd83b58
    267 mulx64 00d7b2ae8c91c8ce 007028a17fc8b89e -> 00005e80685bd048 c6d75ad49d3dff24
    268 mulx64 008cae284a0c2065 0042b77370e42ef0 -> 000024a9b65229be d01a846ba32484b0
    269 mulx64 006fc6190eb4fc04 0035ecaa6c888c28 -> 0000178b583814db caa7dd14d83990a0
    270 mulx64 004686bd6e829ce5 00232b89c5c8c9b6 -> 000009b06a7c85cc 2386ccc4e9f357ce
    271 mulx64 00380a0b248034f1 001bf185a53e82dc -> 0000061dede2bad4 8059257da06de11c
    272 mulx64 0021536a650d4fc6 0011a1af9c2edaa2 -> 0000024b9662fa19 083cb6bc45ef174c
    273 mulx64 001af3d8d0c8c068 000e0282bc13b27b -> 000001799986bddc 3a0d5b5a8df4c1f8
    274 mulx64 001193de10460316 000854daa0b4cc02 -> 0000009272785375 b1992897d6798e2c
    275 mulx64 000df6b241dd45c1 0006bcf63e2fbf3d -> 0000005e16c6e733 5171399855339dfd
    276 mulx64 0008d24469947f91 000464f7852a566a -> 00000026c3bbd644 e2bd3d55dd21880a
    277 mulx64 0007028a17f7fc21 00037dac915ab5c5 -> 0000001878956550 3b6cfd601bb25a65
    278 mulx64 00042b77370e9574 000234911b32831c -> 00000009321fb53a 83504386e8bab4b0
    279 mulx64 00035ecaa6c8cb9c 0001c0a2862c25c1 -> 00000005e806644f ccc15eb1699f0c9c
    280 mulx64 000232b89c5ca207 00010addcd657882 -> 000000024a9b5f54 1d434f615ac08f8e
    281 mulx64 0001bf185a53fb83 0000d7b2a9b6ab1d -> 0000000178b57b31 706978ec9ea5fed7
    282 mulx64 00011a1af9c2f08e 00008cae2719cda3 -> 000000009b06aa02 e9577861419ee06a
    283 mulx64 0000e0282bc137ba 00006fc61694404d -> 0000000061deddcf e1e41d5faa9442f2
    284 mulx64 0000854daa0b4caf 00004686be70612c -> 0000000024b96650 41aa1c3cf08f7d14
    285 mulx64 00006bcf63e2fc01 0000380a0af0025a -> 000000001799988e bb50185f2eb49a5a
    286 mulx64 0000464f7852a469 000021536a829879 -> 0000000009272787 700a9416e8000da1
    287 mulx64 000037dac915aa8f 00001af3d8f8abea -> 0000000005e16c6f ee02b2f029436bb6
    288 mulx64 0000234911b3280d 00001193de14a839 -> 00000000026c3bbe 45c76a13ea3072e5
    289 mulx64 00001c0a2862c244 00000df6b24569e5 -> 0000000001878956 7d2eeeb04659aad4
    290 mulx64 000010addcd6577a 000008d2446cc8f9 -> 00000000009321fb 1fa9b960904a65aa
    291 mulx64 00000d7b2a9b6ac9 000007028a18af7d -> 00000000005e8066 46e3e1f868ba8b25
    292 mulx64 000008cae2719cd4 0000042b77359960 -> 000000000024a9b5 f610e672d5398380
    293 mulx64 000006fc61694403 0000035ecaa6d9df -> 0000000000178b57 b2e940718d4ac99d
    294 mulx64 000004686be70610 00000232b89c662a -> 000000000009b06a a01d7062f2115ea0
    295 mulx64 00000380a0af0023 000001bf185a50b0 -> 0000000000061ded dcf4bd4b7ca90810
    296 mulx64 0000021536a82984 0000011a1af9c13b -> 0000000000024b96 64ff72482972156c
    297 mulx64 000001af3d8f8abd 000000e0282bc000 -> 0000000000017999 88ea98557dccc000
    298 mulx64 000001193de14a82 000000854daa0a5a -> 0000000000009272 7876efd9227145b4
    299 mulx64 000000df6b24569d 0000006bcf63e2b5 -> 0000000000005e16 c6fedf4246ded701
    300 mulx64 0000008d2446cc8e 000000464f7852a0 -> 00000000000026c3 bbe456f90e5554c0
    301 mulx64 0000007028a18af6 00000037dac915a7 -> 0000000000001878 9567ce8558edd47a
    302 mulx64 00000042b7735995 000000234911b322 -> 0000000000000932 1fb201b574da14ca
    303 mulx64 00000035ecaa6d9d 0000001c0a2862bc -> 00000000000005e8 06646c484da6994c
    304 mulx64 000000232b89c661 00000010addcd664 -> 000000000000024a 9b5f5fa8360293e4
    305 mulx64 0000001bf185a509 0000000d7b2a9b66 -> 0000000000000178 b57b2e0b8ca63496
    306 mulx64 00000011a1af9c11 00000008cae27197 -> 000000000000009b 06aa017a137a8f07
    307 mulx64 0000000e0282bbfd 00000006fc616943 -> 0000000000000061 deddcf368e2ef837
    308 mulx64 0000000854daa1a4 00000004686be704 -> 0000000000000024 b966544ecad18290
    309 mulx64 00000006bcf63eb9 0000000380a0aeff -> 0000000000000017 999890944b8a3847
    310 mulx64 0000000464f78590 000000021536a868 -> 0000000000000009 272789567094c280
    311 mulx64 000000037dac916c 00000001af3d8fad -> 0000000000000005 e16c7082cd9599fc
    312 mulx64 0000000234911b32 00000001193de163 -> 0000000000000002 6c3bbe7b39ee7656
    313 mulx64 00000001c0a2862b 00000000df6b2459 -> 0000000000000001 878956805c57b0f3
    314 mulx64 000000010addcd65 000000008d2446ca -> 0000000000000000 9321fb1cbc61afb2
    315 mulx64 00000000d7b2a9b5 000000007028a18a -> 0000000000000000 5e80664557525092
    316 mulx64 000000008cae2718 0000000042b77358 -> 0000000000000000 24a9b5f4b9953840
    317 mulx64 000000006fc61693 0000000035ecaa6c -> 0000000000000000 178b57b1f1132404
    318 mulx64 000000004686be6e 00000000232b89c5 -> 0000000000000000 09b06a9f681368a6
    319 mulx64 00000000380a0af2 000000001bf185a4 -> 0000000000000000 061deddcf1f0bd08
    320 mulx64 0000000021536a83 0000000011a1af9b -> 0000000000000000 024b9664e2b40a51
    321 mulx64 000000001af3d8f7 000000000e0282bb -> 0000000000000000 01799988b83aea6d
    322 mulx64 000000001193de15 000000000854daa0 -> 0000000000000000 009272786e6caf20
    323 mulx64 000000000df6b244 0000000006bcf63d -> 0000000000000000 005e16c6e505d234
    324 mulx64 0000000008d2446b 000000000464f784 -> 0000000000000000 0026c3bbd23a842c
    325 mulx64 0000000007028a18 00000000037dac90 -> 0000000000000000 001878955bedcd80
    326 mulx64 00000000042b7735 000000000234911a -> 0000000000000000 0009321fabb32062
    327 mulx64 00000000035ecaa5 0000000001c0a285 -> 0000000000000000 0005e8065d3bb1b9
    328 mulx64 000000000232b89b 00000000010addcc -> 0000000000000000 00024a9b5ad6ea84
    329 mulx64 0000000001bf185a 0000000000d7b2a8 -> 0000000000000000 000178b577ec8f10
    330 mulx64 00000000011a1af9 00000000008cae26 -> 0000000000000000 00009b06a8613ef6
    331 mulx64 0000000000e0282a 00000000006fc615 -> 0000000000000000 000061dedba9c772
    332 mulx64 0000000000854da9 00000000004686bd -> 0000000000000000 000024b96546cbc5
    333 mulx64 00000000006bcf62 0000000000380a0a -> 0000000000000000 0000179997bfedd4
    334 mulx64 0000000000464f77 0000000000215369 -> 0000000000000000 0000092726f12ccf
    335 mulx64 000000000037dac9 00000000001af3d7 -> 0000000000000000 000005e16bff89cf
    336 mulx64 0000000000234910 00000000001193de -> 0000000000000000 0000026c3b9d8be0
    337 mulx64 00000000001c0a27 00000000000df6b1 -> 0000000000000000 00000187891f7ef7
    338 mulx64 000000000010add9 000000000008d242 -> 0000000000000000 0000009321b0d3f2
    339 mulx64 00000000000d7b28 0000000000070287 -> 0000000000000000 0000005e802a4218
    340 mulx64 000000000008cae0 0000000000042b72 -> 0000000000000000 00000024a97df7c0
    341 mulx64 000000000006fc5f 0000000000035ec7 -> 0000000000000000 000000178b360fd9
    342 mulx64 0000000000046871 00000000000232b3 -> 0000000000000000 00000009b05d1903
    343 mulx64 000000000003809d 000000000001bf16 -> 0000000000000000 000000061ddf307e
    344 mulx64 000000000002152c 0000000000011a1b -> 0000000000000000 000000024b8ab3a4
    345 mulx64 000000000001af3b 000000000000e027 -> 0000000000000000 00000001799551fd
    346 mulx64 000000000001193c 000000000000854a -> 0000000000000000 00000000926d7758
    347 mulx64 000000000000df6a 0000000000006bce -> 0000000000000000 000000005e15154c
    348 mulx64 0000000000008d23 000000000000464e -> 0000000000000000 0000000026c292aa
    349 mulx64 0000000000007026 00000000000037d9 -> 0000000000000000 0000000018773a36
    350 mulx64 00000000000042b3 0000000000002347 -> 0000000000000000 000000000930f8a5
    351 mulx64 00000000000035e9 0000000000001c06 -> 0000000000000000 0000000005e6bf76
    352 mulx64 0000000000002329 00000000000010a9 -> 0000000000000000 000000000249c611
    353 mulx64 0000000000001bef 0000000000000d78 -> 0000000000000000 0000000001783b08
    354 mulx64 00000000000011a3 00000000000008c8 -> 0000000000000000 00000000009adf58
    355 mulx64 0000000000000e02 00000000000006fa -> 0000000000000000 000000000061b9f4
    356 mulx64 0000000000000853 0000000000000468 -> 0000000000000000 000000000024adb8
    357 mulx64 00000000000006ba 0000000000000380 -> 0000000000000000 0000000000178b00
    358 mulx64 0000000000000464 0000000000000214 -> 0000000000000000 0000000000091fd0
    359 mulx64 000000000000037d 00000000000001ae -> 0000000000000000 000000000005dbf6
    360 mulx64 0000000000000233 0000000000000118 -> 0000000000000000 00000000000267c8
    361 mulx64 00000000000001be 00000000000000de -> 0000000000000000 00000000000182c4
    362 mulx64 0000000000000119 000000000000008c -> 0000000000000000 00000000000099ac
    363 mulx64 00000000000000de 000000000000006f -> 0000000000000000 0000000000006042
    364 mulx64 000000000000008c 0000000000000045 -> 0000000000000000 00000000000025bc
    365 mulx64 000000000000006f 0000000000000037 -> 0000000000000000 00000000000017d9
    366 mulx64 0000000000000045 0000000000000022 -> 0000000000000000 000000000000092a
    367 mulx64 0000000000000037 000000000000001b -> 0000000000000000 00000000000005cd
    368 mulx64 0000000000000022 0000000000000010 -> 0000000000000000 0000000000000220
    369 mulx64 000000000000001b 000000000000000c -> 0000000000000000 0000000000000144
    370 mulx64 0000000000000010 0000000000000007 -> 0000000000000000 0000000000000070
    371 mulx64 000000000000000c 0000000000000003 -> 0000000000000000 0000000000000024
    372 mulx64 0000000000000007 0000000000000001 -> 0000000000000000 0000000000000007
    373 mulx64 0000000000000003 0000000000000000 -> 0000000000000000 0000000000000000
    374 mulx64 0000000000000001 0000000000000000 -> 0000000000000000 0000000000000000
    375 mulx64 0000000000000000 0000000000000000 -> 0000000000000000 0000000000000000
    376 mulx32 fedc192837475675 57657438291cdef0 -> 0000000008e0a8c9 00000000e1a683b0
    377 mulx32 8efcf23ad7e922f3 452015034e67c955 -> 0000000042209140 00000000d69e65af
    378 mulx32 7068b90cdf850938 37e901ef56b302d6 -> 000000004bb2fd98 00000000257024d0
    379 mulx32 42db3e5ed85503a5 2348b20e142667cf -> 00000000110718a0 000000008fb3556b
    380 mulx32 35eea72efbea67d7 1c09d34c26e1c212 -> 000000002642f368 0000000087233b1e
    381 mulx32 232c23d3b476ef47 10ad37922d51847f -> 000000001ff25f18 000000000ad85039
    382 mulx32 1bf0c1bf27fbb3ab 0d7b015093984564 -> 00000000170d5074 00000000544745cc
    383 mulx32 11a1311a29a562ea 08cac1103a60926a -> 00000000097f2e3f 00000000aca468e4
    384 mulx32 0e02582b8350ffd0 06fc5681c8cd2b10 -> 00000000670085ce 000000002997ed00
    385 mulx32 0854b4408f5b9e17 04687224d51a87fa -> 00000000775615fc 00000000812c8376
    386 mulx32 06bcf33434328063 03809eacb986283a -> 0000000025d3d96a 0000000076528e6e
    387 mulx32 0464f596e5f3ab8a 02152e09a7eb825e -> 0000000096d580af 00000000aa4310ac
    388 mulx32 037dac8063df281c 01af3c969c7725a6 -> 000000003d0a77dc 00000000b8840e28
    389 mulx32 0234910d6d0cfe89 01193d5aad28c013 -> 0000000049c323dc 000000003145a42b
    390 mulx32 01c0a27d7eaa2575 00df6b2373776c4a -> 000000003921857f 000000005d5f2fd2
    391 mulx32 010adda943af43d8 008d24457d4341d1 -> 00000000211e5c65 00000000fbd83b58
    392 mulx32 00d7b2ae8c91c8ce 007028a17fc8b89e -> 00000000462a89e2 000000009d3dff24
    393 mulx32 008cae284a0c2065 0042b77370e42ef0 -> 0000000020a74e8c 00000000a32484b0
    394 mulx32 006fc6190eb4fc04 0035ecaa6c888c28 -> 00000000063c3284 00000000d83990a0
    395 mulx32 004686bd6e829ce5 00232b89c5c8c9b6 -> 0000000055612fd9 00000000e9f357ce
    396 mulx32 00380a0b248034f1 001bf185a53e82dc -> 00000000178f8bd4 00000000a06de11c
    397 mulx32 0021536a650d4fc6 0011a1af9c2edaa2 -> 000000003da69b4e 0000000045ef174c
    398 mulx32 001af3d8d0c8c068 000e0282bc13b27b -> 0000000099637dc2 000000008df4c1f8
    399 mulx32 001193de10460316 000854daa0b4cc02 -> 000000000a37401f 00000000d6798e2c
    400 mulx32 000df6b241dd45c1 0006bcf63e2fbf3d -> 000000000fffdfb8 0000000055339dfd
    401 mulx32 0008d24469947f91 000464f7852a566a -> 0000000036eb9c46 00000000dd21880a
    402 mulx32 0007028a17f7fc21 00037dac915ab5c5 -> 000000000d9bf402 000000001bb25a65
    403 mulx32 00042b77370e9574 000234911b32831c -> 0000000005d966ce 00000000e8bab4b0
    404 mulx32 00035ecaa6c8cb9c 0001c0a2862c25c1 -> 000000005769ddaf 00000000699f0c9c
    405 mulx32 000232b89c5ca207 00010addcd657882 -> 000000007d7427e6 000000005ac08f8e
    406 mulx32 0001bf185a53fb83 0000d7b2a9b6ab1d -> 000000003be1e51e 000000009ea5fed7
    407 mulx32 00011a1af9c2f08e 00008cae2719cda3 -> 000000002625df4f 00000000419ee06a
    408 mulx32 0000e0282bc137ba 00006fc61694404d -> 0000000003dbf17b 00000000aa9442f2
    409 mulx32 0000854daa0b4caf 00004686be70612c -> 000000007e7f0866 00000000f08f7d14
    410 mulx32 00006bcf63e2fc01 0000380a0af0025a -> 000000000444838f 000000002eb49a5a
    411 mulx32 0000464f7852a469 000021536a829879 -> 00000000320f99b4 00000000e8000da1
    412 mulx32 000037dac915aa8f 00001af3d8f8abea -> 00000000aa6d9bef 0000000029436bb6
    413 mulx32 0000234911b3280d 00001193de14a839 -> 000000000f5aca5b 00000000ea3072e5
    414 mulx32 00001c0a2862c244 00000df6b24569e5 -> 000000001c1f9e66 000000004659aad4
    415 mulx32 000010addcd6577a 000008d2446cc8f9 -> 000000003b06c707 00000000904a65aa
    416 mulx32 00000d7b2a9b6ac9 000007028a18af7d -> 0000000016fbe357 0000000068ba8b25
    417 mulx32 000008cae2719cd4 0000042b77359960 -> 0000000069723916 00000000d5398380
    418 mulx32 000006fc61694403 0000035ecaa6d9df -> 000000004d1c8cd3 000000008d4ac99d
    419 mulx32 000004686be70610 00000232b89c662a -> 000000004dcff832 00000000f2115ea0
    420 mulx32 00000380a0af0023 000001bf185a50b0 -> 000000000f49182e 000000007ca90810
    421 mulx32 0000021536a82984 0000011a1af9c13b -> 0000000005c26709 000000002972156c
    422 mulx32 000001af3d8f8abd 000000e0282bc000 -> 0000000009a8f2f5 000000007dccc000
    423 mulx32 000001193de14a82 000000854daa0a5a -> 0000000012c5dd85 00000000227145b4
    424 mulx32 000000df6b24569d 0000006bcf63e2b5 -> 0000000056cc2ff8 0000000046ded701
    425 mulx32 0000008d2446cc8e 000000464f7852a0 -> 000000000b42e605 000000000e5554c0
    426 mulx32 0000007028a18af6 00000037dac915a7 -> 0000000022b97a9b 0000000058edd47a
    427 mulx32 00000042b7735995 000000234911b322 -> 00000000345c9392 0000000074da14ca
    428 mulx32 00000035ecaa6d9d 0000001c0a2862bc -> 000000000963fe30 000000004da6994c
    429 mulx32 000000232b89c661 00000010addcd664 -> 000000001d91a9ec 00000000360293e4
    430 mulx32 0000001bf185a509 0000000d7b2a9b66 -> 00000000743368d4 000000008ca63496
    431 mulx32 00000011a1af9c11 00000008cae27197 -> 00000000802395eb 00000000137a8f07
    432 mulx32 0000000e0282bbfd 00000006fc616943 -> 000000000279a59e 000000008e2ef837
    433 mulx32 0000000854daa1a4 00000004686be704 -> 00000000229c959e 00000000cad18290
    434 mulx32 00000006bcf63eb9 0000000380a0aeff -> 000000005ef1ba6f 000000004b8a3847
    435 mulx32 0000000464f78590 000000021536a868 -> 00000000085ddc96 000000007094c280
    436 mulx32 000000037dac916c 00000001af3d8fad -> 000000005607300f 00000000cd9599fc
    437 mulx32 0000000234911b32 00000001193de163 -> 00000000052ee083 0000000039ee7656
    438 mulx32 00000001c0a2862b 00000000df6b2459 -> 00000000a81e3227 000000005c57b0f3
    439 mulx32 000000010addcd65 000000008d2446ca -> 0000000005fdb452 00000000bc61afb2
    440 mulx32 00000000d7b2a9b5 000000007028a18a -> 000000005e806645 0000000057525092
    441 mulx32 000000008cae2718 0000000042b77358 -> 0000000024a9b5f4 00000000b9953840
    442 mulx32 000000006fc61693 0000000035ecaa6c -> 00000000178b57b1 00000000f1132404
    443 mulx32 000000004686be6e 00000000232b89c5 -> 0000000009b06a9f 00000000681368a6
    444 mulx32 00000000380a0af2 000000001bf185a4 -> 00000000061deddc 00000000f1f0bd08
    445 mulx32 0000000021536a83 0000000011a1af9b -> 00000000024b9664 00000000e2b40a51
    446 mulx32 000000001af3d8f7 000000000e0282bb -> 0000000001799988 00000000b83aea6d
    447 mulx32 000000001193de15 000000000854daa0 -> 0000000000927278 000000006e6caf20
    448 mulx32 000000000df6b244 0000000006bcf63d -> 00000000005e16c6 00000000e505d234
    449 mulx32 0000000008d2446b 000000000464f784 -> 000000000026c3bb 00000000d23a842c
    450 mulx32 0000000007028a18 00000000037dac90 -> 0000000000187895 000000005bedcd80
    451 mulx32 00000000042b7735 000000000234911a -> 000000000009321f 00000000abb32062
    452 mulx32 00000000035ecaa5 0000000001c0a285 -> 000000000005e806 000000005d3bb1b9
    453 mulx32 000000000232b89b 00000000010addcc -> 0000000000024a9b 000000005ad6ea84
    454 mulx32 0000000001bf185a 0000000000d7b2a8 -> 00000000000178b5 0000000077ec8f10
    455 mulx32 00000000011a1af9 00000000008cae26 -> 0000000000009b06 00000000a8613ef6
    456 mulx32 0000000000e0282a 00000000006fc615 -> 00000000000061de 00000000dba9c772
    457 mulx32 0000000000854da9 00000000004686bd -> 00000000000024b9 000000006546cbc5
    458 mulx32 00000000006bcf62 0000000000380a0a -> 0000000000001799 0000000097bfedd4
    459 mulx32 0000000000464f77 0000000000215369 -> 0000000000000927 0000000026f12ccf
    460 mulx32 000000000037dac9 00000000001af3d7 -> 00000000000005e1 000000006bff89cf
    461 mulx32 0000000000234910 00000000001193de -> 000000000000026c 000000003b9d8be0
    462 mulx32 00000000001c0a27 00000000000df6b1 -> 0000000000000187 00000000891f7ef7
    463 mulx32 000000000010add9 000000000008d242 -> 0000000000000093 0000000021b0d3f2
    464 mulx32 00000000000d7b28 0000000000070287 -> 000000000000005e 00000000802a4218
    465 mulx32 000000000008cae0 0000000000042b72 -> 0000000000000024 00000000a97df7c0
    466 mulx32 000000000006fc5f 0000000000035ec7 -> 0000000000000017 000000008b360fd9
    467 mulx32 0000000000046871 00000000000232b3 -> 0000000000000009 00000000b05d1903
    468 mulx32 000000000003809d 000000000001bf16 -> 0000000000000006 000000001ddf307e
    469 mulx32 000000000002152c 0000000000011a1b -> 0000000000000002 000000004b8ab3a4
    470 mulx32 000000000001af3b 000000000000e027 -> 0000000000000001 00000000799551fd
    471 mulx32 000000000001193c 000000000000854a -> 0000000000000000 00000000926d7758
    472 mulx32 000000000000df6a 0000000000006bce -> 0000000000000000 000000005e15154c
    473 mulx32 0000000000008d23 000000000000464e -> 0000000000000000 0000000026c292aa
    474 mulx32 0000000000007026 00000000000037d9 -> 0000000000000000 0000000018773a36
    475 mulx32 00000000000042b3 0000000000002347 -> 0000000000000000 000000000930f8a5
    476 mulx32 00000000000035e9 0000000000001c06 -> 0000000000000000 0000000005e6bf76
    477 mulx32 0000000000002329 00000000000010a9 -> 0000000000000000 000000000249c611
    478 mulx32 0000000000001bef 0000000000000d78 -> 0000000000000000 0000000001783b08
    479 mulx32 00000000000011a3 00000000000008c8 -> 0000000000000000 00000000009adf58
    480 mulx32 0000000000000e02 00000000000006fa -> 0000000000000000 000000000061b9f4
    481 mulx32 0000000000000853 0000000000000468 -> 0000000000000000 000000000024adb8
    482 mulx32 00000000000006ba 0000000000000380 -> 0000000000000000 0000000000178b00
    483 mulx32 0000000000000464 0000000000000214 -> 0000000000000000 0000000000091fd0
    484 mulx32 000000000000037d 00000000000001ae -> 0000000000000000 000000000005dbf6
    485 mulx32 0000000000000233 0000000000000118 -> 0000000000000000 00000000000267c8
    486 mulx32 00000000000001be 00000000000000de -> 0000000000000000 00000000000182c4
    487 mulx32 0000000000000119 000000000000008c -> 0000000000000000 00000000000099ac
    488 mulx32 00000000000000de 000000000000006f -> 0000000000000000 0000000000006042
    489 mulx32 000000000000008c 0000000000000045 -> 0000000000000000 00000000000025bc
    490 mulx32 000000000000006f 0000000000000037 -> 0000000000000000 00000000000017d9
    491 mulx32 0000000000000045 0000000000000022 -> 0000000000000000 000000000000092a
    492 mulx32 0000000000000037 000000000000001b -> 0000000000000000 00000000000005cd
    493 mulx32 0000000000000022 0000000000000010 -> 0000000000000000 0000000000000220
    494 mulx32 000000000000001b 000000000000000c -> 0000000000000000 0000000000000144
    495 mulx32 0000000000000010 0000000000000007 -> 0000000000000000 0000000000000070
    496 mulx32 000000000000000c 0000000000000003 -> 0000000000000000 0000000000000024
    497 mulx32 0000000000000007 0000000000000001 -> 0000000000000000 0000000000000007
    498 mulx32 0000000000000003 0000000000000000 -> 0000000000000000 0000000000000000
    499 mulx32 0000000000000001 0000000000000000 -> 0000000000000000 0000000000000000
    500 mulx32 0000000000000000 0000000000000000 -> 0000000000000000 0000000000000000
    501 sarx64 fedc192837475675 57657438291cdef0 -> 00000000000002bb
    502 sarx64 8efcf23ad7e922f3 452015034e67c955 -> 00000000000008a4
    503 sarx64 7068b90cdf850938 37e901ef56b302d6 -> 0000000000000037
    504 sarx64 42db3e5ed85503a5 2348b20e142667cf -> 00000000011a4590
    505 sarx64 35eea72efbea67d7 1c09d34c26e1c212 -> 0000003813a6984d
    506 sarx64 232c23d3b476ef47 10ad37922d51847f -> 00215a6f245aa308
    507 sarx64 1bf0c1bf27fbb3ab 0d7b015093984564 -> 000000000001af60
    508 sarx64 11a1311a29a562ea 08cac1103a60926a -> 00000000000232b0
    509 sarx64 0e02582b8350ffd0 06fc5681c8cd2b10 -> 000006fc5681c8cd
    510 sarx64 0854b4408f5b9e17 04687224d51a87fa -> 00000008d0e449aa
    511 sarx64 06bcf33434328063 03809eacb986283a -> 00000000007013d5
    512 sarx64 0464f596e5f3ab8a 02152e09a7eb825e -> 0000854b8269fae0
    513 sarx64 037dac8063df281c 01af3c969c7725a6 -> 000000001af3c969
    514 sarx64 0234910d6d0cfe89 01193d5aad28c013 -> 00008c9ead569460
    515 sarx64 01c0a27d7eaa2575 00df6b2373776c4a -> 0000000000000006
    516 sarx64 010adda943af43d8 008d24457d4341d1 -> 000000008d24457d
    517 sarx64 00d7b2ae8c91c8ce 007028a17fc8b89e -> 000001c0a285ff22
    518 sarx64 008cae284a0c2065 0042b77370e42ef0 -> 00000000000215bb
    519 sarx64 006fc6190eb4fc04 0035ecaa6c888c28 -> 00035ecaa6c888c2
    520 sarx64 004686bd6e829ce5 00232b89c5c8c9b6 -> 000000000001195c
    521 sarx64 00380a0b248034f1 001bf185a53e82dc -> 000000000000000d
    522 sarx64 0021536a650d4fc6 0011a1af9c2edaa2 -> 00004686be70bb6a
    523 sarx64 001af3d8d0c8c068 000e0282bc13b27b -> 0000000000000e02
    524 sarx64 001193de10460316 000854daa0b4cc02 -> 0000000021536a82
    525 sarx64 000df6b241dd45c1 0006bcf63e2fbf3d -> 00035e7b1f17df9e
    526 sarx64 0008d24469947f91 000464f7852a566a -> 00000002327bc295
    527 sarx64 0007028a17f7fc21 00037dac915ab5c5 -> 000000000001bed6
    528 sarx64 00042b77370e9574 000234911b32831c -> 0000000000000000
    529 sarx64 00035ecaa6c8cb9c 0001c0a2862c25c1 -> 00000000001c0a28
    530 sarx64 000232b89c5ca207 00010addcd657882 -> 00000215bb9acaf1
    531 sarx64 0001bf185a53fb83 0000d7b2a9b6ab1d -> 00001af65536d563
    532 sarx64 00011a1af9c2f08e 00008cae2719cda3 -> 0000000232b89c67
    533 sarx64 0000e0282bc137ba 00006fc61694404d -> 0000000000000000
    534 sarx64 0000854daa0b4caf 00004686be70612c -> 0000000000000000
    535 sarx64 00006bcf63e2fc01 0000380a0af0025a -> 00001c050578012d
    536 sarx64 0000464f7852a469 000021536a829879 -> 0000000000000010
    537 sarx64 000037dac915aa8f 00001af3d8f8abea -> 0000000035e7b1f1
    538 sarx64 0000234911b3280d 00001193de14a839 -> 000000008c9ef0a5
    539 sarx64 00001c0a2862c244 00000df6b24569e5 -> 000000df6b24569e
    540 sarx64 000010addcd6577a 000008d2446cc8f9 -> 0000000000000000
    541 sarx64 00000d7b2a9b6ac9 000007028a18af7d -> 0000000381450c57
    542 sarx64 000008cae2719cd4 0000042b77359960 -> 000000000042b773
    543 sarx64 000006fc61694403 0000035ecaa6d9df -> 0000006bd954db3b
    544 sarx64 000004686be70610 00000232b89c662a -> 000000000232b89c
    545 sarx64 00000380a0af0023 000001bf185a50b0 -> 0000000000000037
    546 sarx64 0000021536a82984 0000011a1af9c13b -> 00000011a1af9c13
    547 sarx64 000001af3d8f8abd 000000e0282bc000 -> 0000000000000000
    548 sarx64 000001193de14a82 000000854daa0a5a -> 00000021536a8296
    549 sarx64 000000df6b24569d 0000006bcf63e2b5 -> 000000000000035e
    550 sarx64 0000008d2446cc8e 000000464f7852a0 -> 0000000001193de1
    551 sarx64 0000007028a18af6 00000037dac915a7 -> 0000000000000000
    552 sarx64 00000042b7735995 000000234911b322 -> 0000000000011a48
    553 sarx64 00000035ecaa6d9d 0000001c0a2862bc -> 00000000000000e0
    554 sarx64 000000232b89c661 00000010addcd664 -> 0000000000000008
    555 sarx64 0000001bf185a509 0000000d7b2a9b66 -> 0000000006bd954d
    556 sarx64 00000011a1af9c11 00000008cae27197 -> 0000000000046571
    557 sarx64 0000000e0282bbfd 00000006fc616943 -> 0000000000000000
    558 sarx64 0000000854daa1a4 00000004686be704 -> 0000000000000000
    559 sarx64 00000006bcf63eb9 0000000380a0aeff -> 0000000000000000
    560 sarx64 0000000464f78590 000000021536a868 -> 0000000000021536
    561 sarx64 000000037dac916c 00000001af3d8fad -> 0000000000000000
    562 sarx64 0000000234911b32 00000001193de163 -> 0000000000000000
    563 sarx64 00000001c0a2862b 00000000df6b2459 -> 0000000000000000
    564 sarx64 000000010addcd65 000000008d2446ca -> 0000000000000000
    565 sarx64 00000000d7b2a9b5 000000007028a18a -> 0000000000000000
    566 sarx64 000000008cae2718 0000000042b77358 -> 0000000000000042
    567 sarx64 000000006fc61693 0000000035ecaa6c -> 00000000000006bd
    568 sarx64 000000004686be6e 00000000232b89c5 -> 0000000000000000
    569 sarx64 00000000380a0af2 000000001bf185a4 -> 0000000000000000
    570 sarx64 0000000021536a83 0000000011a1af9b -> 00000000023435f3
    571 sarx64 000000001af3d8f7 000000000e0282bb -> 0000000000000000
    572 sarx64 000000001193de15 000000000854daa0 -> 0000000000000042
    573 sarx64 000000000df6b244 0000000006bcf63d -> 00000000006bcf63
    574 sarx64 0000000008d2446b 000000000464f784 -> 0000000000000000
    575 sarx64 0000000007028a18 00000000037dac90 -> 0000000000000003
    576 sarx64 00000000042b7735 000000000234911a -> 0000000000000000
    577 sarx64 00000000035ecaa5 0000000001c0a285 -> 0000000000000000
    578 sarx64 000000000232b89b 00000000010addcc -> 0000000000000000
    579 sarx64 0000000001bf185a 0000000000d7b2a8 -> 0000000000000000
    580 sarx64 00000000011a1af9 00000000008cae26 -> 0000000000000000
    581 sarx64 0000000000e0282a 00000000006fc615 -> 0000000000000000
    582 sarx64 0000000000854da9 00000000004686bd -> 0000000000000000
    583 sarx64 00000000006bcf62 0000000000380a0a -> 0000000000000000
    584 sarx64 0000000000464f77 0000000000215369 -> 0000000000000000
    585 sarx64 000000000037dac9 00000000001af3d7 -> 0000000000000d79
    586 sarx64 0000000000234910 00000000001193de -> 0000000000000011
    587 sarx64 00000000001c0a27 00000000000df6b1 -> 0000000000000000
    588 sarx64 000000000010add9 000000000008d242 -> 0000000000000000
    589 sarx64 00000000000d7b28 0000000000070287 -> 0000000000000000
    590 sarx64 000000000008cae0 0000000000042b72 -> 0000000000000000
    591 sarx64 000000000006fc5f 0000000000035ec7 -> 0000000000000000
    592 sarx64 0000000000046871 00000000000232b3 -> 0000000000000000
    593 sarx64 000000000003809d 000000000001bf16 -> 0000000000000000
    594 sarx64 000000000002152c 0000000000011a1b -> 0000000000000000
    595 sarx64 000000000001af3b 000000000000e027 -> 0000000000000000
    596 sarx64 000000000001193c 000000000000854a -> 0000000000000000
    597 sarx64 000000000000df6a 0000000000006bce -> 0000000000000000
    598 sarx64 0000000000008d23 000000000000464e -> 0000000000000000
    599 sarx64 0000000000007026 00000000000037d9 -> 0000000000000000
    600 sarx64 00000000000042b3 0000000000002347 -> 0000000000000000
    601 sarx64 00000000000035e9 0000000000001c06 -> 0000000000000000
    602 sarx64 0000000000002329 00000000000010a9 -> 0000000000000000
    603 sarx64 0000000000001bef 0000000000000d78 -> 0000000000000000
    604 sarx64 00000000000011a3 00000000000008c8 -> 0000000000000000
    605 sarx64 0000000000000e02 00000000000006fa -> 00000000000001be
    606 sarx64 0000000000000853 0000000000000468 -> 0000000000000000
    607 sarx64 00000000000006ba 0000000000000380 -> 0000000000000000
    608 sarx64 0000000000000464 0000000000000214 -> 0000000000000000
    609 sarx64 000000000000037d 00000000000001ae -> 0000000000000000
    610 sarx64 0000000000000233 0000000000000118 -> 0000000000000000
    611 sarx64 00000000000001be 00000000000000de -> 0000000000000000
    612 sarx64 0000000000000119 000000000000008c -> 0000000000000000
    613 sarx64 00000000000000de 000000000000006f -> 0000000000000000
    614 sarx64 000000000000008c 0000000000000045 -> 0000000000000000
    615 sarx64 000000000000006f 0000000000000037 -> 0000000000000000
    616 sarx64 0000000000000045 0000000000000022 -> 0000000000000001
    617 sarx64 0000000000000037 000000000000001b -> 0000000000000000
    618 sarx64 0000000000000022 0000000000000010 -> 0000000000000000
    619 sarx64 000000000000001b 000000000000000c -> 0000000000000000
    620 sarx64 0000000000000010 0000000000000007 -> 0000000000000000
    621 sarx64 000000000000000c 0000000000000003 -> 0000000000000000
    622 sarx64 0000000000000007 0000000000000001 -> 0000000000000000
    623 sarx64 0000000000000003 0000000000000000 -> 0000000000000000
    624 sarx64 0000000000000001 0000000000000000 -> 0000000000000000
    625 sarx64 0000000000000000 0000000000000000 -> 0000000000000000
    626 sarx32 fedc192837475675 57657438291cdef0 -> 0000000000000148
    627 sarx32 8efcf23ad7e922f3 452015034e67c955 -> 00000000000009cc
    628 sarx32 7068b90cdf850938 37e901ef56b302d6 -> 0000000000000056
    629 sarx32 42db3e5ed85503a5 2348b20e142667cf -> 0000000000a1333e
    630 sarx32 35eea72efbea67d7 1c09d34c26e1c212 -> 000000000000004d
    631 sarx32 232c23d3b476ef47 10ad37922d51847f -> 00000000005aa308
    632 sarx32 1bf0c1bf27fbb3ab 0d7b015093984564 -> 00000000fff27308
    633 sarx32 11a1311a29a562ea 08cac1103a60926a -> 00000000000e9824
    634 sarx32 0e02582b8350ffd0 06fc5681c8cd2b10 -> 00000000ffffc8cd
    635 sarx32 0854b4408f5b9e17 04687224d51a87fa -> 00000000ffffffaa
    636 sarx32 06bcf33434328063 03809eacb986283a -> 00000000f730c507
    637 sarx32 0464f596e5f3ab8a 02152e09a7eb825e -> 00000000ffe9fae0
    638 sarx32 037dac8063df281c 01af3c969c7725a6 -> 00000000fffffff9
    639 sarx32 0234910d6d0cfe89 01193d5aad28c013 -> 00000000ffd69460
    640 sarx32 01c0a27d7eaa2575 00df6b2373776c4a -> 000000000000039b
    641 sarx32 010adda943af43d8 008d24457d4341d1 -> 000000000000007d
    642 sarx32 00d7b2ae8c91c8ce 007028a17fc8b89e -> 000000000001ff22
    643 sarx32 008cae284a0c2065 0042b77370e42ef0 -> 0000000003872177
    644 sarx32 006fc6190eb4fc04 0035ecaa6c888c28 -> 0000000006c888c2
    645 sarx32 004686bd6e829ce5 00232b89c5c8c9b6 -> 00000000fe2e464d
    646 sarx32 00380a0b248034f1 001bf185a53e82dc -> 00000000ffffd29f
    647 sarx32 0021536a650d4fc6 0011a1af9c2edaa2 -> 00000000fe70bb6a
    648 sarx32 001af3d8d0c8c068 000e0282bc13b27b -> 00000000ffbc13b2
    649 sarx32 001193de10460316 000854daa0b4cc02 -> 00000000fffffe82
    650 sarx32 000df6b241dd45c1 0006bcf63e2fbf3d -> 000000001f17df9e
    651 sarx32 0008d24469947f91 000464f7852a566a -> 00000000ffffc295
    652 sarx32 0007028a17f7fc21 00037dac915ab5c5 -> 00000000c8ad5ae2
    653 sarx32 00042b77370e9574 000234911b32831c -> 00000000000001b3
    654 sarx32 00035ecaa6c8cb9c 0001c0a2862c25c1 -> 00000000fffffff8
    655 sarx32 000232b89c5ca207 00010addcd657882 -> 00000000ff9acaf1
    656 sarx32 0001bf185a53fb83 0000d7b2a9b6ab1d -> 00000000f536d563
    657 sarx32 00011a1af9c2f08e 00008cae2719cda3 -> 0000000000009c67
    658 sarx32 0000e0282bc137ba 00006fc61694404d -> 0000000000000005
    659 sarx32 0000854daa0b4caf 00004686be70612c -> 00000000ffff7ce0
    660 sarx32 00006bcf63e2fc01 0000380a0af0025a -> 000000000578012d
    661 sarx32 0000464f7852a469 000021536a829879 -> 000000000035414c
    662 sarx32 000037dac915aa8f 00001af3d8f8abea -> 00000000ffffb1f1
    663 sarx32 0000234911b3280d 00001193de14a839 -> 00000000fffef0a5
    664 sarx32 00001c0a2862c244 00000df6b24569e5 -> 00000000fb24569e
    665 sarx32 000010addcd6577a 000008d2446cc8f9 -> 0000000000000011
    666 sarx32 00000d7b2a9b6ac9 000007028a18af7d -> 00000000ffc50c57
    667 sarx32 000008cae2719cd4 0000042b77359960 -> 0000000000000773
    668 sarx32 000006fc61694403 0000035ecaa6d9df -> 00000000f954db3b
    669 sarx32 000004686be70610 00000232b89c662a -> 00000000ffffb89c
    670 sarx32 00000380a0af0023 000001bf185a50b0 -> 00000000030b4a16
    671 sarx32 0000021536a82984 0000011a1af9c13b -> 0000000001af9c13
    672 sarx32 000001af3d8f8abd 000000e0282bc000 -> 0000000000000001
    673 sarx32 000001193de14a82 000000854daa0a5a -> 00000000136a8296
    674 sarx32 000000df6b24569d 0000006bcf63e2b5 -> 00000000fffffffe
    675 sarx32 0000008d2446cc8e 000000464f7852a0 -> 0000000000013de1
    676 sarx32 0000007028a18af6 00000037dac915a7 -> 00000000ffffff6b
    677 sarx32 00000042b7735995 000000234911b322 -> 0000000000000248
    678 sarx32 00000035ecaa6d9d 0000001c0a2862bc -> 0000000000000000
    679 sarx32 000000232b89c661 00000010addcd664 -> 00000000d6ee6b32
    680 sarx32 0000001bf185a509 0000000d7b2a9b66 -> 00000000003d954d
    681 sarx32 00000011a1af9c11 00000008cae27197 -> 00000000ffffe571
    682 sarx32 0000000e0282bbfd 00000006fc616943 -> 00000000ffffffff
    683 sarx32 0000000854daa1a4 00000004686be704 -> 000000000686be70
    684 sarx32 00000006bcf63eb9 0000000380a0aeff -> 00000000ffffffc0
    685 sarx32 0000000464f78590 000000021536a868 -> 0000000000001536
    686 sarx32 000000037dac916c 00000001af3d8fad -> 00000000fffaf3d8
    687 sarx32 0000000234911b32 00000001193de163 -> 000000000000064f
    688 sarx32 00000001c0a2862b 00000000df6b2459 -> 00000000fffbed64
    689 sarx32 000000010addcd65 000000008d2446ca -> 00000000fc692236
    690 sarx32 00000000d7b2a9b5 000000007028a18a -> 0000000000000381
    691 sarx32 000000008cae2718 0000000042b77358 -> 0000000000000042
    692 sarx32 000000006fc61693 0000000035ecaa6c -> 00000000000006bd
    693 sarx32 000000004686be6e 00000000232b89c5 -> 0000000000008cae
    694 sarx32 00000000380a0af2 000000001bf185a4 -> 00000000000006fc
    695 sarx32 0000000021536a83 0000000011a1af9b -> 00000000023435f3
    696 sarx32 000000001af3d8f7 000000000e0282bb -> 000000000000001c
    697 sarx32 000000001193de15 000000000854daa0 -> 0000000000000042
    698 sarx32 000000000df6b244 0000000006bcf63d -> 00000000006bcf63
    699 sarx32 0000000008d2446b 000000000464f784 -> 0000000000008c9e
    700 sarx32 0000000007028a18 00000000037dac90 -> 0000000000000003
    701 sarx32 00000000042b7735 000000000234911a -> 0000000000000011
    702 sarx32 00000000035ecaa5 0000000001c0a285 -> 00000000000e0514
    703 sarx32 000000000232b89b 00000000010addcc -> 0000000000000000
    704 sarx32 0000000001bf185a 0000000000d7b2a8 -> 0000000000000000
    705 sarx32 00000000011a1af9 00000000008cae26 -> 0000000000000000
    706 sarx32 0000000000e0282a 00000000006fc615 -> 0000000000001bf1
    707 sarx32 0000000000854da9 00000000004686bd -> 0000000000002343
    708 sarx32 00000000006bcf62 0000000000380a0a -> 00000000000e0282
    709 sarx32 0000000000464f77 0000000000215369 -> 0000000000000000
    710 sarx32 000000000037dac9 00000000001af3d7 -> 0000000000000d79
    711 sarx32 0000000000234910 00000000001193de -> 0000000000000011
    712 sarx32 00000000001c0a27 00000000000df6b1 -> 0000000000001bed
    713 sarx32 000000000010add9 000000000008d242 -> 0000000000000000
    714 sarx32 00000000000d7b28 0000000000070287 -> 0000000000000702
    715 sarx32 000000000008cae0 0000000000042b72 -> 0000000000042b72
    716 sarx32 000000000006fc5f 0000000000035ec7 -> 0000000000000000
    717 sarx32 0000000000046871 00000000000232b3 -> 0000000000000001
    718 sarx32 000000000003809d 000000000001bf16 -> 0000000000000000
    719 sarx32 000000000002152c 0000000000011a1b -> 0000000000000011
    720 sarx32 000000000001af3b 000000000000e027 -> 0000000000000000
    721 sarx32 000000000001193c 000000000000854a -> 0000000000000000
    722 sarx32 000000000000df6a 0000000000006bce -> 000000000000001a
    723 sarx32 0000000000008d23 000000000000464e -> 00000000000008c9
    724 sarx32 0000000000007026 00000000000037d9 -> 00000000000000df
    725 sarx32 00000000000042b3 0000000000002347 -> 0000000000000000
    726 sarx32 00000000000035e9 0000000000001c06 -> 000000000000000e
    727 sarx32 0000000000002329 00000000000010a9 -> 0000000000000008
    728 sarx32 0000000000001bef 0000000000000d78 -> 0000000000000000
    729 sarx32 00000000000011a3 00000000000008c8 -> 0000000000000119
    730 sarx32 0000000000000e02 00000000000006fa -> 00000000000001be
    731 sarx32 0000000000000853 0000000000000468 -> 0000000000000000
    732 sarx32 00000000000006ba 0000000000000380 -> 0000000000000000
    733 sarx32 0000000000000464 0000000000000214 -> 0000000000000021
    734 sarx32 000000000000037d 00000000000001ae -> 0000000000000000
    735 sarx32 0000000000000233 0000000000000118 -> 0000000000000000
    736 sarx32 00000000000001be 00000000000000de -> 0000000000000000
    737 sarx32 0000000000000119 000000000000008c -> 0000000000000000
    738 sarx32 00000000000000de 000000000000006f -> 0000000000000000
    739 sarx32 000000000000008c 0000000000000045 -> 0000000000000000
    740 sarx32 000000000000006f 0000000000000037 -> 0000000000000000
    741 sarx32 0000000000000045 0000000000000022 -> 0000000000000001
    742 sarx32 0000000000000037 000000000000001b -> 0000000000000000
    743 sarx32 0000000000000022 0000000000000010 -> 0000000000000004
    744 sarx32 000000000000001b 000000000000000c -> 0000000000000000
    745 sarx32 0000000000000010 0000000000000007 -> 0000000000000000
    746 sarx32 000000000000000c 0000000000000003 -> 0000000000000000
    747 sarx32 0000000000000007 0000000000000001 -> 0000000000000000
    748 sarx32 0000000000000003 0000000000000000 -> 0000000000000000
    749 sarx32 0000000000000001 0000000000000000 -> 0000000000000000
    750 sarx32 0000000000000000 0000000000000000 -> 0000000000000000
    751 shlx64 fedc192837475675 57657438291cdef0 -> de00000000000000
    752 shlx64 8efcf23ad7e922f3 452015034e67c955 -> 4aa8000000000000
    753 shlx64 7068b90cdf850938 37e901ef56b302d6 -> d600000000000000
    754 shlx64 42db3e5ed85503a5 2348b20e142667cf -> 84ccf9e000000000
    755 shlx64 35eea72efbea67d7 1c09d34c26e1c212 -> a61370e109000000
    756 shlx64 232c23d3b476ef47 10ad37922d51847f -> 569bc916a8c23f80
    757 shlx64 1bf0c1bf27fbb3ab 0d7b015093984564 -> c22b200000000000
    758 shlx64 11a1311a29a562ea 08cac1103a60926a -> 8249a80000000000
    759 shlx64 0e02582b8350ffd0 06fc5681c8cd2b10 -> 5681c8cd2b100000
    760 shlx64 0854b4408f5b9e17 04687224d51a87fa -> 126a8d43fd000000
    761 shlx64 06bcf33434328063 03809eacb986283a -> cc3141d000000000
    762 shlx64 0464f596e5f3ab8a 02152e09a7eb825e -> 54b8269fae097800
    763 shlx64 037dac8063df281c 01af3c969c7725a6 -> 69c7725a60000000
    764 shlx64 0234910d6d0cfe89 01193d5aad28c013 -> 327ab55a51802600
    765 shlx64 01c0a27d7eaa2575 00df6b2373776c4a -> 8940000000000000
    766 shlx64 010adda943af43d8 008d24457d4341d1 -> 457d4341d1000000
    767 shlx64 00d7b2ae8c91c8ce 007028a17fc8b89e -> 0a285ff22e278000
    768 shlx64 008cae284a0c2065 0042b77370e42ef0 -> 1c85de0000000000
    769 shlx64 006fc6190eb4fc04 0035ecaa6c888c28 -> 035ecaa6c888c280
    770 shlx64 004686bd6e829ce5 00232b89c5c8c9b6 -> b91936c000000000
    771 shlx64 00380a0b248034f1 001bf185a53e82dc -> 05b8000000000000
    772 shlx64 0021536a650d4fc6 0011a1af9c2edaa2 -> 04686be70bb6a880
    773 shlx64 001af3d8d0c8c068 000e0282bc13b27b -> 13b27b0000000000
    774 shlx64 001193de10460316 000854daa0b4cc02 -> 36a82d3300800000
    775 shlx64 000df6b241dd45c1 0006bcf63e2fbf3d -> 000d79ec7c5f7e7a
    776 shlx64 0008d24469947f91 000464f7852a566a -> c9ef0a54acd40000
    777 shlx64 0007028a17f7fc21 00037dac915ab5c5 -> 22b56b8a00000000
    778 shlx64 00042b77370e9574 000234911b32831c -> 31c0000000000000
    779 shlx64 00035ecaa6c8cb9c 0001c0a2862c25c1 -> 2862c25c10000000
    780 shlx64 000232b89c5ca207 00010addcd657882 -> 00856ee6b2bc4100
    781 shlx64 0001bf185a53fb83 0000d7b2a9b6ab1d -> 0006bd954db558e8
    782 shlx64 00011a1af9c2f08e 00008cae2719cda3 -> 232b89c67368c000
    783 shlx64 0000e0282bc137ba 00006fc61694404d -> 3400000000000000
    784 shlx64 0000854daa0b4caf 00004686be70612c -> 3096000000000000
    785 shlx64 00006bcf63e2fc01 0000380a0af0025a -> 0000701415e004b4
    786 shlx64 0000464f7852a469 000021536a829879 -> 0530f20000000000
    787 shlx64 000037dac915aa8f 00001af3d8f8abea -> 0d79ec7c55f50000
    788 shlx64 0000234911b3280d 00001193de14a839 -> 02327bc295072000
    789 shlx64 00001c0a2862c244 00000df6b24569e5 -> 0000df6b24569e50
    790 shlx64 000010addcd6577a 000008d2446cc8f9 -> e400000000000000
    791 shlx64 00000d7b2a9b6ac9 000007028a18af7d -> 000e0514315efa00
    792 shlx64 000008cae2719cd4 0000042b77359960 -> 42b7735996000000
    793 shlx64 000006fc61694403 0000035ecaa6d9df -> 00001af65536cef8
    794 shlx64 000004686be70610 00000232b89c662a -> 0232b89c662a0000
    795 shlx64 00000380a0af0023 000001bf185a50b0 -> c2d2858000000000
    796 shlx64 0000021536a82984 0000011a1af9c13b -> 000011a1af9c13b0
    797 shlx64 000001af3d8f8abd 000000e0282bc000 -> 0000000000000000
    798 shlx64 000001193de14a82 000000854daa0a5a -> 0000021536a82968
    799 shlx64 000000df6b24569d 0000006bcf63e2b5 -> 79ec7c56a0000000
    800 shlx64 0000008d2446cc8e 000000464f7852a0 -> 001193de14a80000
    801 shlx64 0000007028a18af6 00000037dac915a7 -> 69c0000000000000
    802 shlx64 00000042b7735995 000000234911b322 -> 0469223664400000
    803 shlx64 00000035ecaa6d9d 0000001c0a2862bc -> 81450c5780000000
    804 shlx64 000000232b89c661 00000010addcd664 -> 5bb9acc800000000
    805 shlx64 0000001bf185a509 0000000d7b2a9b66 -> 00001af65536cc00
    806 shlx64 00000011a1af9c11 00000008cae27197 -> 001195c4e32e0000
    807 shlx64 0000000e0282bbfd 00000006fc616943 -> 6000000000000000
    808 shlx64 0000000854daa1a4 00000004686be704 -> 86be704000000000
    809 shlx64 00000006bcf63eb9 0000000380a0aeff -> fe00000000000000
    810 shlx64 0000000464f78590 000000021536a868 -> 00021536a8680000
    811 shlx64 000000037dac916c 00000001af3d8fad -> d8fad00000000000
    812 shlx64 0000000234911b32 00000001193de163 -> 858c000000000000
    813 shlx64 00000001c0a2862b 00000000df6b2459 -> 5922c80000000000
    814 shlx64 000000010addcd65 000000008d2446ca -> a488d94000000000
    815 shlx64 00000000d7b2a9b5 000000007028a18a -> 3140000000000000
    816 shlx64 000000008cae2718 0000000042b77358 -> 0042b77358000000
    817 shlx64 000000006fc61693 0000000035ecaa6c -> 0001af6553600000
    818 shlx64 000000004686be6e 00000000232b89c5 -> e271400000000000
    819 shlx64 00000000380a0af2 000000001bf185a4 -> 1690000000000000
    820 shlx64 0000000021536a83 0000000011a1af9b -> 000000008d0d7cd8
    821 shlx64 000000001af3d8f7 000000000e0282bb -> 5d80000000000000
    822 shlx64 000000001193de15 000000000854daa0 -> 00010a9b54000000
    823 shlx64 000000000df6b244 0000000006bcf63d -> 000000006bcf63d0
    824 shlx64 0000000008d2446b 000000000464f784 -> 27bc200000000000
    825 shlx64 0000000007028a18 00000000037dac90 -> 00037dac90000000
    826 shlx64 00000000042b7735 000000000234911a -> 2340000000000000
    827 shlx64 00000000035ecaa5 0000000001c0a285 -> 381450a000000000
    828 shlx64 000000000232b89b 00000000010addcc -> 000856ee60000000
    829 shlx64 0000000001bf185a 0000000000d7b2a8 -> 00035ecaa0000000
    830 shlx64 00000000011a1af9 00000000008cae26 -> 4c00000000000000
    831 shlx64 0000000000e0282a 00000000006fc615 -> bf18540000000000
    832 shlx64 0000000000854da9 00000000004686bd -> 8d0d7a0000000000
    833 shlx64 00000000006bcf62 0000000000380a0a -> 00e0282800000000
    834 shlx64 0000000000464f77 0000000000215369 -> b480000000000000
    835 shlx64 000000000037dac9 00000000001af3d7 -> 0000000035e7ae00
    836 shlx64 0000000000234910 00000000001193de -> 0000001193de0000
    837 shlx64 00000000001c0a27 00000000000df6b1 -> 06fb588000000000
    838 shlx64 000000000010add9 000000000008d242 -> 000011a484000000
    839 shlx64 00000000000d7b28 0000000000070287 -> 0702870000000000
    840 shlx64 000000000008cae0 0000000000042b72 -> 00042b7200000000
    841 shlx64 000000000006fc5f 0000000000035ec7 -> 0001af6380000000
    842 shlx64 0000000000046871 00000000000232b3 -> 6566000000000000
    843 shlx64 000000000003809d 000000000001bf16 -> 000037e2c0000000
    844 shlx64 000000000002152c 0000000000011a1b -> 11a1b00000000000
    845 shlx64 000000000001af3b 000000000000e027 -> 3800000000000000
    846 shlx64 000000000001193c 000000000000854a -> a000000000000000
    847 shlx64 000000000000df6a 0000000000006bce -> 01af380000000000
    848 shlx64 0000000000008d23 000000000000464e -> 0002327000000000
    849 shlx64 0000000000007026 00000000000037d9 -> 000df64000000000
    850 shlx64 00000000000042b3 0000000000002347 -> 1a38000000000000
    851 shlx64 00000000000035e9 0000000000001c06 -> 00380c0000000000
    852 shlx64 0000000000002329 00000000000010a9 -> 0021520000000000
    853 shlx64 0000000000001bef 0000000000000d78 -> 06bc000000000000
    854 shlx64 00000000000011a3 00000000000008c8 -> 0000464000000000
    855 shlx64 0000000000000e02 00000000000006fa -> 0000000000001be8
    856 shlx64 0000000000000853 0000000000000468 -> 0000000023400000
    857 shlx64 00000000000006ba 0000000000000380 -> 0000000000000000
    858 shlx64 0000000000000464 0000000000000214 -> 0000214000000000
    859 shlx64 000000000000037d 00000000000001ae -> c000000000000000
    860 shlx64 0000000000000233 0000000000000118 -> 08c0000000000000
    861 shlx64 00000000000001be 00000000000000de -> 8000000000000000
    862 shlx64 0000000000000119 000000000000008c -> 0000000118000000
    863 shlx64 00000000000000de 000000000000006f -> 0000001bc0000000
    864 shlx64 000000000000008c 0000000000000045 -> 0000000000045000
    865 shlx64 000000000000006f 0000000000000037 -> 001b800000000000
    866 shlx64 0000000000000045 0000000000000022 -> 0000000000000440
    867 shlx64 0000000000000037 000000000000001b -> 0d80000000000000
    868 shlx64 0000000000000022 0000000000000010 -> 0000004000000000
    869 shlx64 000000000000001b 000000000000000c -> 0000000060000000
    870 shlx64 0000000000000010 0000000000000007 -> 0000000000070000
    871 shlx64 000000000000000c 0000000000000003 -> 0000000000003000
    872 shlx64 0000000000000007 0000000000000001 -> 0000000000000080
    873 shlx64 0000000000000003 0000000000000000 -> 0000000000000000
    874 shlx64 0000000000000001 0000000000000000 -> 0000000000000000
    875 shlx64 0000000000000000 0000000000000000 -> 0000000000000000
    876 shlx32 fedc192837475675 57657438291cdef0 -> 00000000de000000
    877 shlx32 8efcf23ad7e922f3 452015034e67c955 -> 000000004aa80000
    878 shlx32 7068b90cdf850938 37e901ef56b302d6 -> 00000000d6000000
    879 shlx32 42db3e5ed85503a5 2348b20e142667cf -> 0000000084ccf9e0
    880 shlx32 35eea72efbea67d7 1c09d34c26e1c212 -> 0000000009000000
    881 shlx32 232c23d3b476ef47 10ad37922d51847f -> 00000000a8c23f80
    882 shlx32 1bf0c1bf27fbb3ab 0d7b015093984564 -> 00000000c22b2000
    883 shlx32 11a1311a29a562ea 08cac1103a60926a -> 000000008249a800
    884 shlx32 0e02582b8350ffd0 06fc5681c8cd2b10 -> 000000002b100000
    885 shlx32 0854b4408f5b9e17 04687224d51a87fa -> 00000000fd000000
    886 shlx32 06bcf33434328063 03809eacb986283a -> 00000000cc3141d0
    887 shlx32 0464f596e5f3ab8a 02152e09a7eb825e -> 00000000ae097800
    888 shlx32 037dac8063df281c 01af3c969c7725a6 -> 0000000060000000
    889 shlx32 0234910d6d0cfe89 01193d5aad28c013 -> 0000000051802600
    890 shlx32 01c0a27d7eaa2575 00df6b2373776c4a -> 0000000089400000
    891 shlx32 010adda943af43d8 008d24457d4341d1 -> 00000000d1000000
    892 shlx32 00d7b2ae8c91c8ce 007028a17fc8b89e -> 000000002e278000
    893 shlx32 008cae284a0c2065 0042b77370e42ef0 -> 000000001c85de00
    894 shlx32 006fc6190eb4fc04 0035ecaa6c888c28 -> 00000000c888c280
    895 shlx32 004686bd6e829ce5 00232b89c5c8c9b6 -> 00000000b91936c0
    896 shlx32 00380a0b248034f1 001bf185a53e82dc -> 0000000005b80000
    897 shlx32 0021536a650d4fc6 0011a1af9c2edaa2 -> 000000000bb6a880
    898 shlx32 001af3d8d0c8c068 000e0282bc13b27b -> 0000000013b27b00
    899 shlx32 001193de10460316 000854daa0b4cc02 -> 0000000000800000
    900 shlx32 000df6b241dd45c1 0006bcf63e2fbf3d -> 000000007c5f7e7a
    901 shlx32 0008d24469947f91 000464f7852a566a -> 00000000acd40000
    902 shlx32 0007028a17f7fc21 00037dac915ab5c5 -> 0000000022b56b8a
    903 shlx32 00042b77370e9574 000234911b32831c -> 0000000031c00000
    904 shlx32 00035ecaa6c8cb9c 0001c0a2862c25c1 -> 0000000010000000
    905 shlx32 000232b89c5ca207 00010addcd657882 -> 00000000b2bc4100
    906 shlx32 0001bf185a53fb83 0000d7b2a9b6ab1d -> 000000004db558e8
    907 shlx32 00011a1af9c2f08e 00008cae2719cda3 -> 000000007368c000
    908 shlx32 0000e0282bc137ba 00006fc61694404d -> 0000000034000000
    909 shlx32 0000854daa0b4caf 00004686be70612c -> 0000000030960000
    910 shlx32 00006bcf63e2fc01 0000380a0af0025a -> 0000000015e004b4
    911 shlx32 0000464f7852a469 000021536a829879 -> 000000000530f200
    912 shlx32 000037dac915aa8f 00001af3d8f8abea -> 0000000055f50000
    913 shlx32 0000234911b3280d 00001193de14a839 -> 0000000095072000
    914 shlx32 00001c0a2862c244 00000df6b24569e5 -> 0000000024569e50
    915 shlx32 000010addcd6577a 000008d2446cc8f9 -> 00000000e4000000
    916 shlx32 00000d7b2a9b6ac9 000007028a18af7d -> 00000000315efa00
    917 shlx32 000008cae2719cd4 0000042b77359960 -> 0000000096000000
    918 shlx32 000006fc61694403 0000035ecaa6d9df -> 000000005536cef8
    919 shlx32 000004686be70610 00000232b89c662a -> 00000000662a0000
    920 shlx32 00000380a0af0023 000001bf185a50b0 -> 00000000c2d28580
    921 shlx32 0000021536a82984 0000011a1af9c13b -> 00000000af9c13b0
    922 shlx32 000001af3d8f8abd 000000e0282bc000 -> 0000000000000000
    923 shlx32 000001193de14a82 000000854daa0a5a -> 0000000036a82968
    924 shlx32 000000df6b24569d 0000006bcf63e2b5 -> 00000000a0000000
    925 shlx32 0000008d2446cc8e 000000464f7852a0 -> 0000000014a80000
    926 shlx32 0000007028a18af6 00000037dac915a7 -> 0000000069c00000
    927 shlx32 00000042b7735995 000000234911b322 -> 0000000064400000
    928 shlx32 00000035ecaa6d9d 0000001c0a2862bc -> 0000000080000000
    929 shlx32 000000232b89c661 00000010addcd664 -> 000000005bb9acc8
    930 shlx32 0000001bf185a509 0000000d7b2a9b66 -> 000000005536cc00
    931 shlx32 00000011a1af9c11 00000008cae27197 -> 00000000e32e0000
    932 shlx32 0000000e0282bbfd 00000006fc616943 -> 0000000060000000
    933 shlx32 0000000854daa1a4 00000004686be704 -> 0000000086be7040
    934 shlx32 00000006bcf63eb9 0000000380a0aeff -> 00000000fe000000
    935 shlx32 0000000464f78590 000000021536a868 -> 00000000a8680000
    936 shlx32 000000037dac916c 00000001af3d8fad -> 00000000d8fad000
    937 shlx32 0000000234911b32 00000001193de163 -> 00000000858c0000
    938 shlx32 00000001c0a2862b 00000000df6b2459 -> 000000005922c800
    939 shlx32 000000010addcd65 000000008d2446ca -> 00000000a488d940
    940 shlx32 00000000d7b2a9b5 000000007028a18a -> 0000000031400000
    941 shlx32 000000008cae2718 0000000042b77358 -> 0000000058000000
    942 shlx32 000000006fc61693 0000000035ecaa6c -> 0000000053600000
    943 shlx32 000000004686be6e 00000000232b89c5 -> 00000000e2714000
    944 shlx32 00000000380a0af2 000000001bf185a4 -> 0000000016900000
    945 shlx32 0000000021536a83 0000000011a1af9b -> 000000008d0d7cd8
    946 shlx32 000000001af3d8f7 000000000e0282bb -> 000000005d800000
    947 shlx32 000000001193de15 000000000854daa0 -> 0000000054000000
    948 shlx32 000000000df6b244 0000000006bcf63d -> 000000006bcf63d0
    949 shlx32 0000000008d2446b 000000000464f784 -> 0000000027bc2000
    950 shlx32 0000000007028a18 00000000037dac90 -> 0000000090000000
    951 shlx32 00000000042b7735 000000000234911a -> 0000000023400000
    952 shlx32 00000000035ecaa5 0000000001c0a285 -> 00000000381450a0
    953 shlx32 000000000232b89b 00000000010addcc -> 0000000060000000
    954 shlx32 0000000001bf185a 0000000000d7b2a8 -> 00000000a0000000
    955 shlx32 00000000011a1af9 00000000008cae26 -> 000000004c000000
    956 shlx32 0000000000e0282a 00000000006fc615 -> 00000000bf185400
    957 shlx32 0000000000854da9 00000000004686bd -> 000000008d0d7a00
    958 shlx32 00000000006bcf62 0000000000380a0a -> 0000000000e02828
    959 shlx32 0000000000464f77 0000000000215369 -> 00000000b4800000
    960 shlx32 000000000037dac9 00000000001af3d7 -> 0000000035e7ae00
    961 shlx32 0000000000234910 00000000001193de -> 0000000093de0000
    962 shlx32 00000000001c0a27 00000000000df6b1 -> 0000000006fb5880
    963 shlx32 000000000010add9 000000000008d242 -> 0000000084000000
    964 shlx32 00000000000d7b28 0000000000070287 -> 0000000007028700
    965 shlx32 000000000008cae0 0000000000042b72 -> 0000000000042b72
    966 shlx32 000000000006fc5f 0000000000035ec7 -> 0000000080000000
    967 shlx32 0000000000046871 00000000000232b3 -> 0000000065660000
    968 shlx32 000000000003809d 000000000001bf16 -> 00000000c0000000
    969 shlx32 000000000002152c 0000000000011a1b -> 0000000011a1b000
    970 shlx32 000000000001af3b 000000000000e027 -> 0000000038000000
    971 shlx32 000000000001193c 000000000000854a -> 00000000a0000000
    972 shlx32 000000000000df6a 0000000000006bce -> 0000000001af3800
    973 shlx32 0000000000008d23 000000000000464e -> 0000000000023270
    974 shlx32 0000000000007026 00000000000037d9 -> 00000000000df640
    975 shlx32 00000000000042b3 0000000000002347 -> 000000001a380000
    976 shlx32 00000000000035e9 0000000000001c06 -> 0000000000380c00
    977 shlx32 0000000000002329 00000000000010a9 -> 0000000000215200
    978 shlx32 0000000000001bef 0000000000000d78 -> 0000000006bc0000
    979 shlx32 00000000000011a3 00000000000008c8 -> 0000000000004640
    980 shlx32 0000000000000e02 00000000000006fa -> 0000000000001be8
    981 shlx32 0000000000000853 0000000000000468 -> 0000000023400000
    982 shlx32 00000000000006ba 0000000000000380 -> 0000000000000000
    983 shlx32 0000000000000464 0000000000000214 -> 0000000000002140
    984 shlx32 000000000000037d 00000000000001ae -> 00000000c0000000
    985 shlx32 0000000000000233 0000000000000118 -> 0000000008c00000
    986 shlx32 00000000000001be 00000000000000de -> 0000000080000000
    987 shlx32 0000000000000119 000000000000008c -> 0000000018000000
    988 shlx32 00000000000000de 000000000000006f -> 00000000c0000000
    989 shlx32 000000000000008c 0000000000000045 -> 0000000000045000
    990 shlx32 000000000000006f 0000000000000037 -> 00000000001b8000
    991 shlx32 0000000000000045 0000000000000022 -> 0000000000000440
    992 shlx32 0000000000000037 000000000000001b -> 000000000d800000
    993 shlx32 0000000000000022 0000000000000010 -> 0000000000000040
    994 shlx32 000000000000001b 000000000000000c -> 0000000060000000
    995 shlx32 0000000000000010 0000000000000007 -> 0000000000070000
    996 shlx32 000000000000000c 0000000000000003 -> 0000000000003000
    997 shlx32 0000000000000007 0000000000000001 -> 0000000000000080
    998 shlx32 0000000000000003 0000000000000000 -> 0000000000000000
    999 shlx32 0000000000000001 0000000000000000 -> 0000000000000000
   1000 shlx32 0000000000000000 0000000000000000 -> 0000000000000000
   1001 shrx64 fedc192837475675 57657438291cdef0 -> 00000000000002bb
   1002 shrx64 8efcf23ad7e922f3 452015034e67c955 -> 00000000000008a4
   1003 shrx64 7068b90cdf850938 37e901ef56b302d6 -> 0000000000000037
   1004 shrx64 42db3e5ed85503a5 2348b20e142667cf -> 00000000011a4590
   1005 shrx64 35eea72efbea67d7 1c09d34c26e1c212 -> 0000003813a6984d
   1006 shrx64 232c23d3b476ef47 10ad37922d51847f -> 00215a6f245aa308
   1007 shrx64 1bf0c1bf27fbb3ab 0d7b015093984564 -> 000000000001af60
   1008 shrx64 11a1311a29a562ea 08cac1103a60926a -> 00000000000232b0
   1009 shrx64 0e02582b8350ffd0 06fc5681c8cd2b10 -> 000006fc5681c8cd
   1010 shrx64 0854b4408f5b9e17 04687224d51a87fa -> 00000008d0e449aa
   1011 shrx64 06bcf33434328063 03809eacb986283a -> 00000000007013d5
   1012 shrx64 0464f596e5f3ab8a 02152e09a7eb825e -> 0000854b8269fae0
   1013 shrx64 037dac8063df281c 01af3c969c7725a6 -> 000000001af3c969
   1014 shrx64 0234910d6d0cfe89 01193d5aad28c013 -> 00008c9ead569460
   1015 shrx64 01c0a27d7eaa2575 00df6b2373776c4a -> 0000000000000006
   1016 shrx64 010adda943af43d8 008d24457d4341d1 -> 000000008d24457d
   1017 shrx64 00d7b2ae8c91c8ce 007028a17fc8b89e -> 000001c0a285ff22
   1018 shrx64 008cae284a0c2065 0042b77370e42ef0 -> 00000000000215bb
   1019 shrx64 006fc6190eb4fc04 0035ecaa6c888c28 -> 00035ecaa6c888c2
   1020 shrx64 004686bd6e829ce5 00232b89c5c8c9b6 -> 000000000001195c
   1021 shrx64 00380a0b248034f1 001bf185a53e82dc -> 000000000000000d
   1022 shrx64 0021536a650d4fc6 0011a1af9c2edaa2 -> 00004686be70bb6a
   1023 shrx64 001af3d8d0c8c068 000e0282bc13b27b -> 0000000000000e02
   1024 shrx64 001193de10460316 000854daa0b4cc02 -> 0000000021536a82
   1025 shrx64 000df6b241dd45c1 0006bcf63e2fbf3d -> 00035e7b1f17df9e
   1026 shrx64 0008d24469947f91 000464f7852a566a -> 00000002327bc295
   1027 shrx64 0007028a17f7fc21 00037dac915ab5c5 -> 000000000001bed6
   1028 shrx64 00042b77370e9574 000234911b32831c -> 0000000000000000
   1029 shrx64 00035ecaa6c8cb9c 0001c0a2862c25c1 -> 00000000001c0a28
   1030 shrx64 000232b89c5ca207 00010addcd657882 -> 00000215bb9acaf1
   1031 shrx64 0001bf185a53fb83 0000d7b2a9b6ab1d -> 00001af65536d563
   1032 shrx64 00011a1af9c2f08e 00008cae2719cda3 -> 0000000232b89c67
   1033 shrx64 0000e0282bc137ba 00006fc61694404d -> 0000000000000000
   1034 shrx64 0000854daa0b4caf 00004686be70612c -> 0000000000000000
   1035 shrx64 00006bcf63e2fc01 0000380a0af0025a -> 00001c050578012d
   1036 shrx64 0000464f7852a469 000021536a829879 -> 0000000000000010
   1037 shrx64 000037dac915aa8f 00001af3d8f8abea -> 0000000035e7b1f1
   1038 shrx64 0000234911b3280d 00001193de14a839 -> 000000008c9ef0a5
   1039 shrx64 00001c0a2862c244 00000df6b24569e5 -> 000000df6b24569e
   1040 shrx64 000010addcd6577a 000008d2446cc8f9 -> 0000000000000000
   1041 shrx64 00000d7b2a9b6ac9 000007028a18af7d -> 0000000381450c57
   1042 shrx64 000008cae2719cd4 0000042b77359960 -> 000000000042b773
   1043 shrx64 000006fc61694403 0000035ecaa6d9df -> 0000006bd954db3b
   1044 shrx64 000004686be70610 00000232b89c662a -> 000000000232b89c
   1045 shrx64 00000380a0af0023 000001bf185a50b0 -> 0000000000000037
   1046 shrx64 0000021536a82984 0000011a1af9c13b -> 00000011a1af9c13
   1047 shrx64 000001af3d8f8abd 000000e0282bc000 -> 0000000000000000
   1048 shrx64 000001193de14a82 000000854daa0a5a -> 00000021536a8296
   1049 shrx64 000000df6b24569d 0000006bcf63e2b5 -> 000000000000035e
   1050 shrx64 0000008d2446cc8e 000000464f7852a0 -> 0000000001193de1
   1051 shrx64 0000007028a18af6 00000037dac915a7 -> 0000000000000000
   1052 shrx64 00000042b7735995 000000234911b322 -> 0000000000011a48
   1053 shrx64 00000035ecaa6d9d 0000001c0a2862bc -> 00000000000000e0
   1054 shrx64 000000232b89c661 00000010addcd664 -> 0000000000000008
   1055 shrx64 0000001bf185a509 0000000d7b2a9b66 -> 0000000006bd954d
   1056 shrx64 00000011a1af9c11 00000008cae27197 -> 0000000000046571
   1057 shrx64 0000000e0282bbfd 00000006fc616943 -> 0000000000000000
   1058 shrx64 0000000854daa1a4 00000004686be704 -> 0000000000000000
   1059 shrx64 00000006bcf63eb9 0000000380a0aeff -> 0000000000000000
   1060 shrx64 0000000464f78590 000000021536a868 -> 0000000000021536
   1061 shrx64 000000037dac916c 00000001af3d8fad -> 0000000000000000
   1062 shrx64 0000000234911b32 00000001193de163 -> 0000000000000000
   1063 shrx64 00000001c0a2862b 00000000df6b2459 -> 0000000000000000
   1064 shrx64 000000010addcd65 000000008d2446ca -> 0000000000000000
   1065 shrx64 00000000d7b2a9b5 000000007028a18a -> 0000000000000000
   1066 shrx64 000000008cae2718 0000000042b77358 -> 0000000000000042
   1067 shrx64 000000006fc61693 0000000035ecaa6c -> 00000000000006bd
   1068 shrx64 000000004686be6e 00000000232b89c5 -> 0000000000000000
   1069 shrx64 00000000380a0af2 000000001bf185a4 -> 0000000000000000
   1070 shrx64 0000000021536a83 0000000011a1af9b -> 00000000023435f3
   1071 shrx64 000000001af3d8f7 000000000e0282bb -> 0000000000000000
   1072 shrx64 000000001193de15 000000000854daa0 -> 0000000000000042
   1073 shrx64 000000000df6b244 0000000006bcf63d -> 00000000006bcf63
   1074 shrx64 0000000008d2446b 000000000464f784 -> 0000000000000000
   1075 shrx64 0000000007028a18 00000000037dac90 -> 0000000000000003
   1076 shrx64 00000000042b7735 000000000234911a -> 0000000000000000
   1077 shrx64 00000000035ecaa5 0000000001c0a285 -> 0000000000000000
   1078 shrx64 000000000232b89b 00000000010addcc -> 0000000000000000
   1079 shrx64 0000000001bf185a 0000000000d7b2a8 -> 0000000000000000
   1080 shrx64 00000000011a1af9 00000000008cae26 -> 0000000000000000
   1081 shrx64 0000000000e0282a 00000000006fc615 -> 0000000000000000
   1082 shrx64 0000000000854da9 00000000004686bd -> 0000000000000000
   1083 shrx64 00000000006bcf62 0000000000380a0a -> 0000000000000000
   1084 shrx64 0000000000464f77 0000000000215369 -> 0000000000000000
   1085 shrx64 000000000037dac9 00000000001af3d7 -> 0000000000000d79
   1086 shrx64 0000000000234910 00000000001193de -> 0000000000000011
   1087 shrx64 00000000001c0a27 00000000000df6b1 -> 0000000000000000
   1088 shrx64 000000000010add9 000000000008d242 -> 0000000000000000
   1089 shrx64 00000000000d7b28 0000000000070287 -> 0000000000000000
   1090 shrx64 000000000008cae0 0000000000042b72 -> 0000000000000000
   1091 shrx64 000000000006fc5f 0000000000035ec7 -> 0000000000000000
   1092 shrx64 0000000000046871 00000000000232b3 -> 0000000000000000
   1093 shrx64 000000000003809d 000000000001bf16 -> 0000000000000000
   1094 shrx64 000000000002152c 0000000000011a1b -> 0000000000000000
   1095 shrx64 000000000001af3b 000000000000e027 -> 0000000000000000
   1096 shrx64 000000000001193c 000000000000854a -> 0000000000000000
   1097 shrx64 000000000000df6a 0000000000006bce -> 0000000000000000
   1098 shrx64 0000000000008d23 000000000000464e -> 0000000000000000
   1099 shrx64 0000000000007026 00000000000037d9 -> 0000000000000000
   1100 shrx64 00000000000042b3 0000000000002347 -> 0000000000000000
   1101 shrx64 00000000000035e9 0000000000001c06 -> 0000000000000000
   1102 shrx64 0000000000002329 00000000000010a9 -> 0000000000000000
   1103 shrx64 0000000000001bef 0000000000000d78 -> 0000000000000000
   1104 shrx64 00000000000011a3 00000000000008c8 -> 0000000000000000
   1105 shrx64 0000000000000e02 00000000000006fa -> 00000000000001be
   1106 shrx64 0000000000000853 0000000000000468 -> 0000000000000000
   1107 shrx64 00000000000006ba 0000000000000380 -> 0000000000000000
   1108 shrx64 0000000000000464 0000000000000214 -> 0000000000000000
   1109 shrx64 000000000000037d 00000000000001ae -> 0000000000000000
   1110 shrx64 0000000000000233 0000000000000118 -> 0000000000000000
   1111 shrx64 00000000000001be 00000000000000de -> 0000000000000000
   1112 shrx64 0000000000000119 000000000000008c -> 0000000000000000
   1113 shrx64 00000000000000de 000000000000006f -> 0000000000000000
   1114 shrx64 000000000000008c 0000000000000045 -> 0000000000000000
   1115 shrx64 000000000000006f 0000000000000037 -> 0000000000000000
   1116 shrx64 0000000000000045 0000000000000022 -> 0000000000000001
   1117 shrx64 0000000000000037 000000000000001b -> 0000000000000000
   1118 shrx64 0000000000000022 0000000000000010 -> 0000000000000000
   1119 shrx64 000000000000001b 000000000000000c -> 0000000000000000
   1120 shrx64 0000000000000010 0000000000000007 -> 0000000000000000
   1121 shrx64 000000000000000c 0000000000000003 -> 0000000000000000
   1122 shrx64 0000000000000007 0000000000000001 -> 0000000000000000
   1123 shrx64 0000000000000003 0000000000000000 -> 0000000000000000
   1124 shrx64 0000000000000001 0000000000000000 -> 0000000000000000
   1125 shrx64 0000000000000000 0000000000000000 -> 0000000000000000
   1126 shrx32 fedc192837475675 57657438291cdef0 -> 0000000000000148
   1127 shrx32 8efcf23ad7e922f3 452015034e67c955 -> 00000000000009cc
   1128 shrx32 7068b90cdf850938 37e901ef56b302d6 -> 0000000000000056
   1129 shrx32 42db3e5ed85503a5 2348b20e142667cf -> 0000000000a1333e
   1130 shrx32 35eea72efbea67d7 1c09d34c26e1c212 -> 000000000000004d
   1131 shrx32 232c23d3b476ef47 10ad37922d51847f -> 00000000005aa308
   1132 shrx32 1bf0c1bf27fbb3ab 0d7b015093984564 -> 0000000000127308
   1133 shrx32 11a1311a29a562ea 08cac1103a60926a -> 00000000000e9824
   1134 shrx32 0e02582b8350ffd0 06fc5681c8cd2b10 -> 000000000000c8cd
   1135 shrx32 0854b4408f5b9e17 04687224d51a87fa -> 00000000000001aa
   1136 shrx32 06bcf33434328063 03809eacb986283a -> 000000001730c507
   1137 shrx32 0464f596e5f3ab8a 02152e09a7eb825e -> 000000000029fae0
   1138 shrx32 037dac8063df281c 01af3c969c7725a6 -> 0000000000000009
   1139 shrx32 0234910d6d0cfe89 01193d5aad28c013 -> 0000000000569460
   1140 shrx32 01c0a27d7eaa2575 00df6b2373776c4a -> 000000000000039b
   1141 shrx32 010adda943af43d8 008d24457d4341d1 -> 000000000000007d
   1142 shrx32 00d7b2ae8c91c8ce 007028a17fc8b89e -> 000000000001ff22
   1143 shrx32 008cae284a0c2065 0042b77370e42ef0 -> 0000000003872177
   1144 shrx32 006fc6190eb4fc04 0035ecaa6c888c28 -> 0000000006c888c2
   1145 shrx32 004686bd6e829ce5 00232b89c5c8c9b6 -> 00000000062e464d
   1146 shrx32 00380a0b248034f1 001bf185a53e82dc -> 000000000000529f
   1147 shrx32 0021536a650d4fc6 0011a1af9c2edaa2 -> 000000000270bb6a
   1148 shrx32 001af3d8d0c8c068 000e0282bc13b27b -> 0000000000bc13b2
   1149 shrx32 001193de10460316 000854daa0b4cc02 -> 0000000000000282
   1150 shrx32 000df6b241dd45c1 0006bcf63e2fbf3d -> 000000001f17df9e
   1151 shrx32 0008d24469947f91 000464f7852a566a -> 0000000000004295
   1152 shrx32 0007028a17f7fc21 00037dac915ab5c5 -> 0000000048ad5ae2
   1153 shrx32 00042b77370e9574 000234911b32831c -> 00000000000001b3
   1154 shrx32 00035ecaa6c8cb9c 0001c0a2862c25c1 -> 0000000000000008
   1155 shrx32 000232b89c5ca207 00010addcd657882 -> 00000000019acaf1
   1156 shrx32 0001bf185a53fb83 0000d7b2a9b6ab1d -> 000000001536d563
   1157 shrx32 00011a1af9c2f08e 00008cae2719cda3 -> 0000000000009c67
   1158 shrx32 0000e0282bc137ba 00006fc61694404d -> 0000000000000005
   1159 shrx32 0000854daa0b4caf 00004686be70612c -> 0000000000017ce0
   1160 shrx32 00006bcf63e2fc01 0000380a0af0025a -> 000000000578012d
   1161 shrx32 0000464f7852a469 000021536a829879 -> 000000000035414c
   1162 shrx32 000037dac915aa8f 00001af3d8f8abea -> 000000000001b1f1
   1163 shrx32 0000234911b3280d 00001193de14a839 -> 000000000006f0a5
   1164 shrx32 00001c0a2862c244 00000df6b24569e5 -> 000000000b24569e
   1165 shrx32 000010addcd6577a 000008d2446cc8f9 -> 0000000000000011
   1166 shrx32 00000d7b2a9b6ac9 000007028a18af7d -> 0000000000450c57
   1167 shrx32 000008cae2719cd4 0000042b77359960 -> 0000000000000773
   1168 shrx32 000006fc61694403 0000035ecaa6d9df -> 000000001954db3b
   1169 shrx32 000004686be70610 00000232b89c662a -> 000000000000b89c
   1170 shrx32 00000380a0af0023 000001bf185a50b0 -> 00000000030b4a16
   1171 shrx32 0000021536a82984 0000011a1af9c13b -> 0000000001af9c13
   1172 shrx32 000001af3d8f8abd 000000e0282bc000 -> 0000000000000001
   1173 shrx32 000001193de14a82 000000854daa0a5a -> 00000000136a8296
   1174 shrx32 000000df6b24569d 0000006bcf63e2b5 -> 0000000000000006
   1175 shrx32 0000008d2446cc8e 000000464f7852a0 -> 0000000000013de1
   1176 shrx32 0000007028a18af6 00000037dac915a7 -> 000000000000036b
   1177 shrx32 00000042b7735995 000000234911b322 -> 0000000000000248
   1178 shrx32 00000035ecaa6d9d 0000001c0a2862bc -> 0000000000000000
   1179 shrx32 000000232b89c661 00000010addcd664 -> 0000000056ee6b32
   1180 shrx32 0000001bf185a509 0000000d7b2a9b66 -> 00000000003d954d
   1181 shrx32 00000011a1af9c11 00000008cae27197 -> 0000000000006571
   1182 shrx32 0000000e0282bbfd 00000006fc616943 -> 0000000000000007
   1183 shrx32 0000000854daa1a4 00000004686be704 -> 000000000686be70
   1184 shrx32 00000006bcf63eb9 0000000380a0aeff -> 0000000000000040
   1185 shrx32 0000000464f78590 000000021536a868 -> 0000000000001536
   1186 shrx32 000000037dac916c 00000001af3d8fad -> 00000000000af3d8
   1187 shrx32 0000000234911b32 00000001193de163 -> 000000000000064f
   1188 shrx32 00000001c0a2862b 00000000df6b2459 -> 00000000001bed64
   1189 shrx32 000000010addcd65 000000008d2446ca -> 0000000004692236
   1190 shrx32 00000000d7b2a9b5 000000007028a18a -> 0000000000000381
   1191 shrx32 000000008cae2718 0000000042b77358 -> 0000000000000042
   1192 shrx32 000000006fc61693 0000000035ecaa6c -> 00000000000006bd
   1193 shrx32 000000004686be6e 00000000232b89c5 -> 0000000000008cae
   1194 shrx32 00000000380a0af2 000000001bf185a4 -> 00000000000006fc
   1195 shrx32 0000000021536a83 0000000011a1af9b -> 00000000023435f3
   1196 shrx32 000000001af3d8f7 000000000e0282bb -> 000000000000001c
   1197 shrx32 000000001193de15 000000000854daa0 -> 0000000000000042
   1198 shrx32 000000000df6b244 0000000006bcf63d -> 00000000006bcf63
   1199 shrx32 0000000008d2446b 000000000464f784 -> 0000000000008c9e
   1200 shrx32 0000000007028a18 00000000037dac90 -> 0000000000000003
   1201 shrx32 00000000042b7735 000000000234911a -> 0000000000000011
   1202 shrx32 00000000035ecaa5 0000000001c0a285 -> 00000000000e0514
   1203 shrx32 000000000232b89b 00000000010addcc -> 0000000000000000
   1204 shrx32 0000000001bf185a 0000000000d7b2a8 -> 0000000000000000
   1205 shrx32 00000000011a1af9 00000000008cae26 -> 0000000000000000
   1206 shrx32 0000000000e0282a 00000000006fc615 -> 0000000000001bf1
   1207 shrx32 0000000000854da9 00000000004686bd -> 0000000000002343
   1208 shrx32 00000000006bcf62 0000000000380a0a -> 00000000000e0282
   1209 shrx32 0000000000464f77 0000000000215369 -> 0000000000000000
   1210 shrx32 000000000037dac9 00000000001af3d7 -> 0000000000000d79
   1211 shrx32 0000000000234910 00000000001193de -> 0000000000000011
   1212 shrx32 00000000001c0a27 00000000000df6b1 -> 0000000000001bed
   1213 shrx32 000000000010add9 000000000008d242 -> 0000000000000000
   1214 shrx32 00000000000d7b28 0000000000070287 -> 0000000000000702
   1215 shrx32 000000000008cae0 0000000000042b72 -> 0000000000042b72
   1216 shrx32 000000000006fc5f 0000000000035ec7 -> 0000000000000000
   1217 shrx32 0000000000046871 00000000000232b3 -> 0000000000000001
   1218 shrx32 000000000003809d 000000000001bf16 -> 0000000000000000
   1219 shrx32 000000000002152c 0000000000011a1b -> 0000000000000011
   1220 shrx32 000000000001af3b 000000000000e027 -> 0000000000000000
   1221 shrx32 000000000001193c 000000000000854a -> 0000000000000000
   1222 shrx32 000000000000df6a 0000000000006bce -> 000000000000001a
   1223 shrx32 0000000000008d23 000000000000464e -> 00000000000008c9
   1224 shrx32 0000000000007026 00000000000037d9 -> 00000000000000df
   1225 shrx32 00000000000042b3 0000000000002347 -> 0000000000000000
   1226 shrx32 00000000000035e9 0000000000001c06 -> 000000000000000e
   1227 shrx32 0000000000002329 00000000000010a9 -> 0000000000000008
   1228 shrx32 0000000000001bef 0000000000000d78 -> 0000000000000000
   1229 shrx32 00000000000011a3 00000000000008c8 -> 0000000000000119
   1230 shrx32 0000000000000e02 00000000000006fa -> 00000000000001be
   1231 shrx32 0000000000000853 0000000000000468 -> 0000000000000000
   1232 shrx32 00000000000006ba 0000000000000380 -> 0000000000000000
   1233 shrx32 0000000000000464 0000000000000214 -> 0000000000000021
   1234 shrx32 000000000000037d 00000000000001ae -> 0000000000000000
   1235 shrx32 0000000000000233 0000000000000118 -> 0000000000000000
   1236 shrx32 00000000000001be 00000000000000de -> 0000000000000000
   1237 shrx32 0000000000000119 000000000000008c -> 0000000000000000
   1238 shrx32 00000000000000de 000000000000006f -> 0000000000000000
   1239 shrx32 000000000000008c 0000000000000045 -> 0000000000000000
   1240 shrx32 000000000000006f 0000000000000037 -> 0000000000000000
   1241 shrx32 0000000000000045 0000000000000022 -> 0000000000000001
   1242 shrx32 0000000000000037 000000000000001b -> 0000000000000000
   1243 shrx32 0000000000000022 0000000000000010 -> 0000000000000004
   1244 shrx32 000000000000001b 000000000000000c -> 0000000000000000
   1245 shrx32 0000000000000010 0000000000000007 -> 0000000000000000
   1246 shrx32 000000000000000c 0000000000000003 -> 0000000000000000
   1247 shrx32 0000000000000007 0000000000000001 -> 0000000000000000
   1248 shrx32 0000000000000003 0000000000000000 -> 0000000000000000
   1249 shrx32 0000000000000001 0000000000000000 -> 0000000000000000
   1250 shrx32 0000000000000000 0000000000000000 -> 0000000000000000
   1251 rorx64 fedc192837475675 -> 675fedc192837475 bfdb832506e8eace
   1252 rorx64 8efcf23ad7e922f3 -> 2f38efcf23ad7e92 71df9e475afd245e
   1253 rorx64 7068b90cdf850938 -> 9387068b90cdf850 0e0d17219bf0a127
   1254 rorx64 42db3e5ed85503a5 -> 3a542db3e5ed8550 a85b67cbdb0aa074
   1255 rorx64 35eea72efbea67d7 -> 7d735eea72efbea6 e6bdd4e5df7d4cfa
   1256 rorx64 232c23d3b476ef47 -> f47232c23d3b476e e465847a768edde8
   1257 rorx64 1bf0c1bf27fbb3ab -> 3ab1bf0c1bf27fbb 637e1837e4ff7675
   1258 rorx64 11a1311a29a562ea -> 2ea11a1311a29a56 423426234534ac5d
   1259 rorx64 0e02582b8350ffd0 -> fd00e02582b8350f 01c04b05706a1ffa
   1260 rorx64 0854b4408f5b9e17 -> e170854b4408f5b9 e10a968811eb73c2
   1261 rorx64 06bcf33434328063 -> 06306bcf33434328 60d79e668686500c
   1262 rorx64 0464f596e5f3ab8a -> b8a0464f596e5f3a 408c9eb2dcbe7571
   1263 rorx64 037dac8063df281c -> 81c037dac8063df2 806fb5900c7be503
   1264 rorx64 0234910d6d0cfe89 -> e890234910d6d0cf 20469221ada19fd1
   1265 rorx64 01c0a27d7eaa2575 -> 57501c0a27d7eaa2 a038144fafd544ae
   1266 rorx64 010adda943af43d8 -> 3d8010adda943af4 00215bb52875e87b
   1267 rorx64 00d7b2ae8c91c8ce -> 8ce00d7b2ae8c91c c01af655d1923919
   1268 rorx64 008cae284a0c2065 -> 065008cae284a0c2 a01195c50941840c
   1269 rorx64 006fc6190eb4fc04 -> c04006fc6190eb4f 800df8c321d69f80
   1270 rorx64 004686bd6e829ce5 -> ce5004686bd6e829 a008d0d7add0539c
   1271 rorx64 00380a0b248034f1 -> 4f100380a0b24803 200701416490069e
   1272 rorx64 0021536a650d4fc6 -> fc60021536a650d4 c0042a6d4ca1a9f8
   1273 rorx64 001af3d8d0c8c068 -> 068001af3d8d0c8c 00035e7b1a19180d
   1274 rorx64 001193de10460316 -> 316001193de10460 c002327bc208c062
   1275 rorx64 000df6b241dd45c1 -> 5c1000df6b241dd4 2001bed6483ba8b8
   1276 rorx64 0008d24469947f91 -> f910008d24469947 20011a488d328ff2
   1277 rorx64 0007028a17f7fc21 -> c210007028a17f7f 2000e05142feff84
   1278 rorx64 00042b77370e9574 -> 57400042b77370e9 8000856ee6e1d2ae
   1279 rorx64 00035ecaa6c8cb9c -> b9c00035ecaa6c8c 80006bd954d91973
   1280 rorx64 000232b89c5ca207 -> 207000232b89c5ca e0004657138b9440
   1281 rorx64 0001bf185a53fb83 -> b830001bf185a53f 600037e30b4a7f70
   1282 rorx64 00011a1af9c2f08e -> 08e00011a1af9c2f c00023435f385e11
   1283 rorx64 0000e0282bc137ba -> 7ba0000e0282bc13 40001c05057826f7
   1284 rorx64 0000854daa0b4caf -> caf0000854daa0b4 e00010a9b5416995
   1285 rorx64 00006bcf63e2fc01 -> c0100006bcf63e2f 20000d79ec7c5f80
   1286 rorx64 0000464f7852a469 -> 4690000464f7852a 200008c9ef0a548d
   1287 rorx64 000037dac915aa8f -> a8f000037dac915a e00006fb5922b551
   1288 rorx64 0000234911b3280d -> 80d0000234911b32 a000046922366501
   1289 rorx64 00001c0a2862c244 -> 24400001c0a2862c 80000381450c5848
   1290 rorx64 000010addcd6577a -> 77a000010addcd65 40000215bb9acaef
   1291 rorx64 00000d7b2a9b6ac9 -> ac900000d7b2a9b6 200001af65536d59
   1292 rorx64 000008cae2719cd4 -> cd4000008cae2719 800001195c4e339a
   1293 rorx64 000006fc61694403 -> 403000006fc61694 600000df8c2d2880
   1294 rorx64 000004686be70610 -> 610000004686be70 0000008d0d7ce0c2
   1295 rorx64 00000380a0af0023 -> 02300000380a0af0 600000701415e004
   1296 rorx64 0000021536a82984 -> 9840000021536a82 80000042a6d50530
   1297 rorx64 000001af3d8f8abd -> abd000001af3d8f8 a0000035e7b1f157
   1298 rorx64 000001193de14a82 -> a82000001193de14 4000002327bc2950
   1299 rorx64 000000df6b24569d -> 69d000000df6b245 a000001bed648ad3
   1300 rorx64 0000008d2446cc8e -> c8e0000008d2446c c0000011a488d991
   1301 rorx64 0000007028a18af6 -> af60000007028a18 c000000e0514315e
   1302 rorx64 00000042b7735995 -> 99500000042b7735 a000000856ee6b32
   1303 rorx64 00000035ecaa6d9d -> d9d00000035ecaa6 a0000006bd954db3
   1304 rorx64 000000232b89c661 -> 661000000232b89c 20000004657138cc
   1305 rorx64 0000001bf185a509 -> 5090000001bf185a 200000037e30b4a1
   1306 rorx64 00000011a1af9c11 -> c1100000011a1af9 200000023435f382
   1307 rorx64 0000000e0282bbfd -> bfd0000000e0282b a0000001c050577f
   1308 rorx64 0000000854daa1a4 -> 1a40000000854daa 800000010a9b5434
   1309 rorx64 00000006bcf63eb9 -> eb900000006bcf63 20000000d79ec7d7
   1310 rorx64 0000000464f78590 -> 5900000000464f78 000000008c9ef0b2
   1311 rorx64 000000037dac916c -> 16c000000037dac9 800000006fb5922d
   1312 rorx64 0000000234911b32 -> b320000000234911 4000000046922366
   1313 rorx64 00000001c0a2862b -> 62b00000001c0a28 60000000381450c5
   1314 rorx64 000000010addcd65 -> d65000000010addc a0000000215bb9ac
   1315 rorx64 00000000d7b2a9b5 -> 9b500000000d7b2a a00000001af65536
   1316 rorx64 000000008cae2718 -> 718000000008cae2 000000001195c4e3
   1317 rorx64 000000006fc61693 -> 693000000006fc61 600000000df8c2d2
   1318 rorx64 000000004686be6e -> e6e000000004686b c000000008d0d7cd
   1319 rorx64 00000000380a0af2 -> af200000000380a0 400000000701415e
   1320 rorx64 0000000021536a83 -> a830000000021536 60000000042a6d50
   1321 rorx64 000000001af3d8f7 -> 8f7000000001af3d e0000000035e7b1e
   1322 rorx64 000000001193de15 -> e15000000001193d a000000002327bc2
   1323 rorx64 000000000df6b244 -> 244000000000df6b 8000000001bed648
   1324 rorx64 0000000008d2446b -> 46b0000000008d24 60000000011a488d
   1325 rorx64 0000000007028a18 -> a180000000007028 0000000000e05143
   1326 rorx64 00000000042b7735 -> 73500000000042b7 a000000000856ee6
   1327 rorx64 00000000035ecaa5 -> aa500000000035ec a0000000006bd954
   1328 rorx64 000000000232b89b -> 89b000000000232b 6000000000465713
   1329 rorx64 0000000001bf185a -> 85a0000000001bf1 400000000037e30b
   1330 rorx64 00000000011a1af9 -> af900000000011a1 200000000023435f
   1331 rorx64 0000000000e0282a -> 82a0000000000e02 40000000001c0505
   1332 rorx64 0000000000854da9 -> da90000000000854 200000000010a9b5
   1333 rorx64 00000000006bcf62 -> f6200000000006bc 40000000000d79ec
   1334 rorx64 0000000000464f77 -> f770000000000464 e00000000008c9ee
   1335 rorx64 000000000037dac9 -> ac9000000000037d 200000000006fb59
   1336 rorx64 0000000000234910 -> 9100000000000234 0000000000046922
   1337 rorx64 00000000001c0a27 -> a2700000000001c0 e000000000038144
   1338 rorx64 000000000010add9 -> dd9000000000010a 20000000000215bb
   1339 rorx64 00000000000d7b28 -> b2800000000000d7 000000000001af65
   1340 rorx64 000000000008cae0 -> ae0000000000008c 000000000001195c
   1341 rorx64 000000000006fc5f -> c5f000000000006f e00000000000df8b
   1342 rorx64 0000000000046871 -> 8710000000000046 2000000000008d0e
   1343 rorx64 000000000003809d -> 09d0000000000038 a000000000007013
   1344 rorx64 000000000002152c -> 52c0000000000021 80000000000042a5
   1345 rorx64 000000000001af3b -> f3b000000000001a 60000000000035e7
   1346 rorx64 000000000001193c -> 93c0000000000011 8000000000002327
   1347 rorx64 000000000000df6a -> f6a000000000000d 4000000000001bed
   1348 rorx64 0000000000008d23 -> d230000000000008 60000000000011a4
   1349 rorx64 0000000000007026 -> 0260000000000007 c000000000000e04
   1350 rorx64 00000000000042b3 -> 2b30000000000004 6000000000000856
   1351 rorx64 00000000000035e9 -> 5e90000000000003 20000000000006bd
   1352 rorx64 0000000000002329 -> 3290000000000002 2000000000000465
   1353 rorx64 0000000000001bef -> bef0000000000001 e00000000000037d
   1354 rorx64 00000000000011a3 -> 1a30000000000001 6000000000000234
   1355 rorx64 0000000000000e02 -> e020000000000000 40000000000001c0
   1356 rorx64 0000000000000853 -> 8530000000000000 600000000000010a
   1357 rorx64 00000000000006ba -> 6ba0000000000000 40000000000000d7
   1358 rorx64 0000000000000464 -> 4640000000000000 800000000000008c
   1359 rorx64 000000000000037d -> 37d0000000000000 a00000000000006f
   1360 rorx64 0000000000000233 -> 2330000000000000 6000000000000046
   1361 rorx64 00000000000001be -> 1be0000000000000 c000000000000037
   1362 rorx64 0000000000000119 -> 1190000000000000 2000000000000023
   1363 rorx64 00000000000000de -> 0de0000000000000 c00000000000001b
   1364 rorx64 000000000000008c -> 08c0000000000000 8000000000000011
   1365 rorx64 000000000000006f -> 06f0000000000000 e00000000000000d
   1366 rorx64 0000000000000045 -> 0450000000000000 a000000000000008
   1367 rorx64 0000000000000037 -> 0370000000000000 e000000000000006
   1368 rorx64 0000000000000022 -> 0220000000000000 4000000000000004
   1369 rorx64 000000000000001b -> 01b0000000000000 6000000000000003
   1370 rorx64 0000000000000010 -> 0100000000000000 0000000000000002
   1371 rorx64 000000000000000c -> 00c0000000000000 8000000000000001
   1372 rorx64 0000000000000007 -> 0070000000000000 e000000000000000
   1373 rorx64 0000000000000003 -> 0030000000000000 6000000000000000
   1374 rorx64 0000000000000001 -> 0010000000000000 2000000000000000
   1375 rorx64 0000000000000000 -> 0000000000000000 0000000000000000
   1376 rorx32 fedc192837475675 -> 0000000067537475 00000000a6e8eace
   1377 rorx32 8efcf23ad7e922f3 -> 000000002f3d7e92 000000007afd245e
   1378 rorx32 7068b90cdf850938 -> 00000000938df850 000000001bf0a127
   1379 rorx32 42db3e5ed85503a5 -> 000000003a5d8550 00000000bb0aa074
   1380 rorx32 35eea72efbea67d7 -> 000000007d7fbea6 00000000ff7d4cfa
   1381 rorx32 232c23d3b476ef47 -> 00000000f47b476e 00000000f68edde8
   1382 rorx32 1bf0c1bf27fbb3ab -> 000000003ab27fbb 0000000064ff7675
   1383 rorx32 11a1311a29a562ea -> 000000002ea29a56 000000004534ac5d
   1384 rorx32 0e02582b8350ffd0 -> 00000000fd08350f 00000000106a1ffa
   1385 rorx32 0854b4408f5b9e17 -> 00000000e178f5b9 00000000f1eb73c2
   1386 rorx32 06bcf33434328063 -> 0000000006334328 000000006686500c
   1387 rorx32 0464f596e5f3ab8a -> 00000000b8ae5f3a 000000005cbe7571
   1388 rorx32 037dac8063df281c -> 0000000081c63df2 000000008c7be503
   1389 rorx32 0234910d6d0cfe89 -> 00000000e896d0cf 000000002da19fd1
   1390 rorx32 01c0a27d7eaa2575 -> 000000005757eaa2 00000000afd544ae
   1391 rorx32 010adda943af43d8 -> 000000003d843af4 000000000875e87b
   1392 rorx32 00d7b2ae8c91c8ce -> 000000008ce8c91c 00000000d1923919
   1393 rorx32 008cae284a0c2065 -> 000000000654a0c2 00000000a941840c
   1394 rorx32 006fc6190eb4fc04 -> 00000000c040eb4f 0000000081d69f80
   1395 rorx32 004686bd6e829ce5 -> 00000000ce56e829 00000000add0539c
   1396 rorx32 00380a0b248034f1 -> 000000004f124803 000000002490069e
   1397 rorx32 0021536a650d4fc6 -> 00000000fc6650d4 00000000cca1a9f8
   1398 rorx32 001af3d8d0c8c068 -> 00000000068d0c8c 000000001a19180d
   1399 rorx32 001193de10460316 -> 0000000031610460 00000000c208c062
   1400 rorx32 000df6b241dd45c1 -> 000000005c141dd4 00000000283ba8b8
   1401 rorx32 0008d24469947f91 -> 00000000f9169947 000000002d328ff2
   1402 rorx32 0007028a17f7fc21 -> 00000000c2117f7f 0000000022feff84
   1403 rorx32 00042b77370e9574 -> 00000000574370e9 0000000086e1d2ae
   1404 rorx32 00035ecaa6c8cb9c -> 00000000b9ca6c8c 0000000094d91973
   1405 rorx32 000232b89c5ca207 -> 000000002079c5ca 00000000f38b9440
   1406 rorx32 0001bf185a53fb83 -> 00000000b835a53f 000000006b4a7f70
   1407 rorx32 00011a1af9c2f08e -> 0000000008ef9c2f 00000000df385e11
   1408 rorx32 0000e0282bc137ba -> 000000007ba2bc13 00000000457826f7
   1409 rorx32 0000854daa0b4caf -> 00000000cafaa0b4 00000000f5416995
   1410 rorx32 00006bcf63e2fc01 -> 00000000c0163e2f 000000002c7c5f80
   1411 rorx32 0000464f7852a469 -> 000000004697852a 000000002f0a548d
   1412 rorx32 000037dac915aa8f -> 00000000a8fc915a 00000000f922b551
   1413 rorx32 0000234911b3280d -> 0000000080d11b32 00000000a2366501
   1414 rorx32 00001c0a2862c244 -> 000000002442862c 00000000850c5848
   1415 rorx32 000010addcd6577a -> 0000000077adcd65 000000005b9acaef
   1416 rorx32 00000d7b2a9b6ac9 -> 00000000ac92a9b6 0000000025536d59
   1417 rorx32 000008cae2719cd4 -> 00000000cd4e2719 000000009c4e339a
   1418 rorx32 000006fc61694403 -> 0000000040361694 000000006c2d2880
   1419 rorx32 000004686be70610 -> 000000006106be70 000000000d7ce0c2
   1420 rorx32 00000380a0af0023 -> 00000000023a0af0 000000007415e004
   1421 rorx32 0000021536a82984 -> 0000000098436a82 0000000086d50530
   1422 rorx32 000001af3d8f8abd -> 00000000abd3d8f8 00000000a7b1f157
   1423 rorx32 000001193de14a82 -> 00000000a823de14 0000000047bc2950
   1424 rorx32 000000df6b24569d -> 0000000069d6b245 00000000ad648ad3
   1425 rorx32 0000008d2446cc8e -> 00000000c8e2446c 00000000c488d991
   1426 rorx32 0000007028a18af6 -> 00000000af628a18 00000000c514315e
   1427 rorx32 00000042b7735995 -> 00000000995b7735 00000000b6ee6b32
   1428 rorx32 00000035ecaa6d9d -> 00000000d9decaa6 00000000bd954db3
   1429 rorx32 000000232b89c661 -> 000000006612b89c 00000000257138cc
   1430 rorx32 0000001bf185a509 -> 00000000509f185a 000000003e30b4a1
   1431 rorx32 00000011a1af9c11 -> 00000000c11a1af9 000000003435f382
   1432 rorx32 0000000e0282bbfd -> 00000000bfd0282b 00000000a050577f
   1433 rorx32 0000000854daa1a4 -> 000000001a454daa 000000008a9b5434
   1434 rorx32 00000006bcf63eb9 -> 00000000eb9bcf63 00000000379ec7d7
   1435 rorx32 0000000464f78590 -> 0000000059064f78 000000000c9ef0b2
   1436 rorx32 000000037dac916c -> 0000000016c7dac9 000000008fb5922d
   1437 rorx32 0000000234911b32 -> 00000000b3234911 0000000046922366
   1438 rorx32 00000001c0a2862b -> 0000000062bc0a28 00000000781450c5
   1439 rorx32 000000010addcd65 -> 00000000d650addc 00000000a15bb9ac
   1440 rorx32 00000000d7b2a9b5 -> 000000009b5d7b2a 00000000baf65536
   1441 rorx32 000000008cae2718 -> 000000007188cae2 000000001195c4e3
   1442 rorx32 000000006fc61693 -> 000000006936fc61 000000006df8c2d2
   1443 rorx32 000000004686be6e -> 00000000e6e4686b 00000000c8d0d7cd
   1444 rorx32 00000000380a0af2 -> 00000000af2380a0 000000004701415e
   1445 rorx32 0000000021536a83 -> 00000000a8321536 00000000642a6d50
   1446 rorx32 000000001af3d8f7 -> 000000008f71af3d 00000000e35e7b1e
   1447 rorx32 000000001193de15 -> 00000000e151193d 00000000a2327bc2
   1448 rorx32 000000000df6b244 -> 000000002440df6b 0000000081bed648
   1449 rorx32 0000000008d2446b -> 0000000046b08d24 00000000611a488d
   1450 rorx32 0000000007028a18 -> 00000000a1807028 0000000000e05143
   1451 rorx32 00000000042b7735 -> 00000000735042b7 00000000a0856ee6
   1452 rorx32 00000000035ecaa5 -> 00000000aa5035ec 00000000a06bd954
   1453 rorx32 000000000232b89b -> 0000000089b0232b 0000000060465713
   1454 rorx32 0000000001bf185a -> 0000000085a01bf1 000000004037e30b
   1455 rorx32 00000000011a1af9 -> 00000000af9011a1 000000002023435f
   1456 rorx32 0000000000e0282a -> 0000000082a00e02 00000000401c0505
   1457 rorx32 0000000000854da9 -> 00000000da900854 000000002010a9b5
   1458 rorx32 00000000006bcf62 -> 00000000f62006bc 00000000400d79ec
   1459 rorx32 0000000000464f77 -> 00000000f7700464 00000000e008c9ee
   1460 rorx32 000000000037dac9 -> 00000000ac90037d 000000002006fb59
   1461 rorx32 0000000000234910 -> 0000000091000234 0000000000046922
   1462 rorx32 00000000001c0a27 -> 00000000a27001c0 00000000e0038144
   1463 rorx32 000000000010add9 -> 00000000dd90010a 00000000200215bb
   1464 rorx32 00000000000d7b28 -> 00000000b28000d7 000000000001af65
   1465 rorx32 000000000008cae0 -> 00000000ae00008c 000000000001195c
   1466 rorx32 000000000006fc5f -> 00000000c5f0006f 00000000e000df8b
   1467 rorx32 0000000000046871 -> 0000000087100046 0000000020008d0e
   1468 rorx32 000000000003809d -> 0000000009d00038 00000000a0007013
   1469 rorx32 000000000002152c -> 0000000052c00021 00000000800042a5
   1470 rorx32 000000000001af3b -> 00000000f3b0001a 00000000600035e7
   1471 rorx32 000000000001193c -> 0000000093c00011 0000000080002327
   1472 rorx32 000000000000df6a -> 00000000f6a0000d 0000000040001bed
   1473 rorx32 0000000000008d23 -> 00000000d2300008 00000000600011a4
   1474 rorx32 0000000000007026 -> 0000000002600007 00000000c0000e04
   1475 rorx32 00000000000042b3 -> 000000002b300004 0000000060000856
   1476 rorx32 00000000000035e9 -> 000000005e900003 00000000200006bd
   1477 rorx32 0000000000002329 -> 0000000032900002 0000000020000465
   1478 rorx32 0000000000001bef -> 00000000bef00001 00000000e000037d
   1479 rorx32 00000000000011a3 -> 000000001a300001 0000000060000234
   1480 rorx32 0000000000000e02 -> 00000000e0200000 00000000400001c0
   1481 rorx32 0000000000000853 -> 0000000085300000 000000006000010a
   1482 rorx32 00000000000006ba -> 000000006ba00000 00000000400000d7
   1483 rorx32 0000000000000464 -> 0000000046400000 000000008000008c
   1484 rorx32 000000000000037d -> 0000000037d00000 00000000a000006f
   1485 rorx32 0000000000000233 -> 0000000023300000 0000000060000046
   1486 rorx32 00000000000001be -> 000000001be00000 00000000c0000037
   1487 rorx32 0000000000000119 -> 0000000011900000 0000000020000023
   1488 rorx32 00000000000000de -> 000000000de00000 00000000c000001b
   1489 rorx32 000000000000008c -> 0000000008c00000 0000000080000011
   1490 rorx32 000000000000006f -> 0000000006f00000 00000000e000000d
   1491 rorx32 0000000000000045 -> 0000000004500000 00000000a0000008
   1492 rorx32 0000000000000037 -> 0000000003700000 00000000e0000006
   1493 rorx32 0000000000000022 -> 0000000002200000 0000000040000004
   1494 rorx32 000000000000001b -> 0000000001b00000 0000000060000003
   1495 rorx32 0000000000000010 -> 0000000001000000 0000000000000002
   1496 rorx32 000000000000000c -> 0000000000c00000 0000000080000001
   1497 rorx32 0000000000000007 -> 0000000000700000 00000000e0000000
   1498 rorx32 0000000000000003 -> 0000000000300000 0000000060000000
   1499 rorx32 0000000000000001 -> 0000000000100000 0000000020000000
   1500 rorx32 0000000000000000 -> 0000000000000000 0000000000000000
   1501 blsi64 fedc192837475675 -> 0000000000000001 0001
   1502 blsi64 8efcf23ad7e922f3 -> 0000000000000001 0001
   1503 blsi64 7068b90cdf850938 -> 0000000000000008 0001
   1504 blsi64 42db3e5ed85503a5 -> 0000000000000001 0001
   1505 blsi64 35eea72efbea67d7 -> 0000000000000001 0001
   1506 blsi64 232c23d3b476ef47 -> 0000000000000001 0001
   1507 blsi64 1bf0c1bf27fbb3ab -> 0000000000000001 0001
   1508 blsi64 11a1311a29a562ea -> 0000000000000002 0001
   1509 blsi64 0e02582b8350ffd0 -> 0000000000000010 0001
   1510 blsi64 0854b4408f5b9e17 -> 0000000000000001 0001
   1511 blsi64 06bcf33434328063 -> 0000000000000001 0001
   1512 blsi64 0464f596e5f3ab8a -> 0000000000000002 0001
   1513 blsi64 037dac8063df281c -> 0000000000000004 0001
   1514 blsi64 0234910d6d0cfe89 -> 0000000000000001 0001
   1515 blsi64 01c0a27d7eaa2575 -> 0000000000000001 0001
   1516 blsi64 010adda943af43d8 -> 0000000000000008 0001
   1517 blsi64 00d7b2ae8c91c8ce -> 0000000000000002 0001
   1518 blsi64 008cae284a0c2065 -> 0000000000000001 0001
   1519 blsi64 006fc6190eb4fc04 -> 0000000000000004 0001
   1520 blsi64 004686bd6e829ce5 -> 0000000000000001 0001
   1521 blsi64 00380a0b248034f1 -> 0000000000000001 0001
   1522 blsi64 0021536a650d4fc6 -> 0000000000000002 0001
   1523 blsi64 001af3d8d0c8c068 -> 0000000000000008 0001
   1524 blsi64 001193de10460316 -> 0000000000000002 0001
   1525 blsi64 000df6b241dd45c1 -> 0000000000000001 0001
   1526 blsi64 0008d24469947f91 -> 0000000000000001 0001
   1527 blsi64 0007028a17f7fc21 -> 0000000000000001 0001
   1528 blsi64 00042b77370e9574 -> 0000000000000004 0001
   1529 blsi64 00035ecaa6c8cb9c -> 0000000000000004 0001
   1530 blsi64 000232b89c5ca207 -> 0000000000000001 0001
   1531 blsi64 0001bf185a53fb83 -> 0000000000000001 0001
   1532 blsi64 00011a1af9c2f08e -> 0000000000000002 0001
   1533 blsi64 0000e0282bc137ba -> 0000000000000002 0001
   1534 blsi64 0000854daa0b4caf -> 0000000000000001 0001
   1535 blsi64 00006bcf63e2fc01 -> 0000000000000001 0001
   1536 blsi64 0000464f7852a469 -> 0000000000000001 0001
   1537 blsi64 000037dac915aa8f -> 0000000000000001 0001
   1538 blsi64 0000234911b3280d -> 0000000000000001 0001
   1539 blsi64 00001c0a2862c244 -> 0000000000000004 0001
   1540 blsi64 000010addcd6577a -> 0000000000000002 0001
   1541 blsi64 00000d7b2a9b6ac9 -> 0000000000000001 0001
   1542 blsi64 000008cae2719cd4 -> 0000000000000004 0001
   1543 blsi64 000006fc61694403 -> 0000000000000001 0001
   1544 blsi64 000004686be70610 -> 0000000000000010 0001
   1545 blsi64 00000380a0af0023 -> 0000000000000001 0001
   1546 blsi64 0000021536a82984 -> 0000000000000004 0001
   1547 blsi64 000001af3d8f8abd -> 0000000000000001 0001
   1548 blsi64 000001193de14a82 -> 0000000000000002 0001
   1549 blsi64 000000df6b24569d -> 0000000000000001 0001
   1550 blsi64 0000008d2446cc8e -> 0000000000000002 0001
   1551 blsi64 0000007028a18af6 -> 0000000000000002 0001
   1552 blsi64 00000042b7735995 -> 0000000000000001 0001
   1553 blsi64 00000035ecaa6d9d -> 0000000000000001 0001
   1554 blsi64 000000232b89c661 -> 0000000000000001 0001
   1555 blsi64 0000001bf185a509 -> 0000000000000001 0001
   1556 blsi64 00000011a1af9c11 -> 0000000000000001 0001
   1557 blsi64 0000000e0282bbfd -> 0000000000000001 0001
   1558 blsi64 0000000854daa1a4 -> 0000000000000004 0001
   1559 blsi64 00000006bcf63eb9 -> 0000000000000001 0001
   1560 blsi64 0000000464f78590 -> 0000000000000010 0001
   1561 blsi64 000000037dac916c -> 0000000000000004 0001
   1562 blsi64 0000000234911b32 -> 0000000000000002 0001
   1563 blsi64 00000001c0a2862b -> 0000000000000001 0001
   1564 blsi64 000000010addcd65 -> 0000000000000001 0001
   1565 blsi64 00000000d7b2a9b5 -> 0000000000000001 0001
   1566 blsi64 000000008cae2718 -> 0000000000000008 0001
   1567 blsi64 000000006fc61693 -> 0000000000000001 0001
   1568 blsi64 000000004686be6e -> 0000000000000002 0001
   1569 blsi64 00000000380a0af2 -> 0000000000000002 0001
   1570 blsi64 0000000021536a83 -> 0000000000000001 0001
   1571 blsi64 000000001af3d8f7 -> 0000000000000001 0001
   1572 blsi64 000000001193de15 -> 0000000000000001 0001
   1573 blsi64 000000000df6b244 -> 0000000000000004 0001
   1574 blsi64 0000000008d2446b -> 0000000000000001 0001
   1575 blsi64 0000000007028a18 -> 0000000000000008 0001
   1576 blsi64 00000000042b7735 -> 0000000000000001 0001
   1577 blsi64 00000000035ecaa5 -> 0000000000000001 0001
   1578 blsi64 000000000232b89b -> 0000000000000001 0001
   1579 blsi64 0000000001bf185a -> 0000000000000002 0001
   1580 blsi64 00000000011a1af9 -> 0000000000000001 0001
   1581 blsi64 0000000000e0282a -> 0000000000000002 0001
   1582 blsi64 0000000000854da9 -> 0000000000000001 0001
   1583 blsi64 00000000006bcf62 -> 0000000000000002 0001
   1584 blsi64 0000000000464f77 -> 0000000000000001 0001
   1585 blsi64 000000000037dac9 -> 0000000000000001 0001
   1586 blsi64 0000000000234910 -> 0000000000000010 0001
   1587 blsi64 00000000001c0a27 -> 0000000000000001 0001
   1588 blsi64 000000000010add9 -> 0000000000000001 0001
   1589 blsi64 00000000000d7b28 -> 0000000000000008 0001
   1590 blsi64 000000000008cae0 -> 0000000000000020 0001
   1591 blsi64 000000000006fc5f -> 0000000000000001 0001
   1592 blsi64 0000000000046871 -> 0000000000000001 0001
   1593 blsi64 000000000003809d -> 0000000000000001 0001
   1594 blsi64 000000000002152c -> 0000000000000004 0001
   1595 blsi64 000000000001af3b -> 0000000000000001 0001
   1596 blsi64 000000000001193c -> 0000000000000004 0001
   1597 blsi64 000000000000df6a -> 0000000000000002 0001
   1598 blsi64 0000000000008d23 -> 0000000000000001 0001
   1599 blsi64 0000000000007026 -> 0000000000000002 0001
   1600 blsi64 00000000000042b3 -> 0000000000000001 0001
   1601 blsi64 00000000000035e9 -> 0000000000000001 0001
   1602 blsi64 0000000000002329 -> 0000000000000001 0001
   1603 blsi64 0000000000001bef -> 0000000000000001 0001
   1604 blsi64 00000000000011a3 -> 0000000000000001 0001
   1605 blsi64 0000000000000e02 -> 0000000000000002 0001
   1606 blsi64 0000000000000853 -> 0000000000000001 0001
   1607 blsi64 00000000000006ba -> 0000000000000002 0001
   1608 blsi64 0000000000000464 -> 0000000000000004 0001
   1609 blsi64 000000000000037d -> 0000000000000001 0001
   1610 blsi64 0000000000000233 -> 0000000000000001 0001
   1611 blsi64 00000000000001be -> 0000000000000002 0001
   1612 blsi64 0000000000000119 -> 0000000000000001 0001
   1613 blsi64 00000000000000de -> 0000000000000002 0001
   1614 blsi64 000000000000008c -> 0000000000000004 0001
   1615 blsi64 000000000000006f -> 0000000000000001 0001
   1616 blsi64 0000000000000045 -> 0000000000000001 0001
   1617 blsi64 0000000000000037 -> 0000000000000001 0001
   1618 blsi64 0000000000000022 -> 0000000000000002 0001
   1619 blsi64 000000000000001b -> 0000000000000001 0001
   1620 blsi64 0000000000000010 -> 0000000000000010 0001
   1621 blsi64 000000000000000c -> 0000000000000004 0001
   1622 blsi64 0000000000000007 -> 0000000000000001 0001
   1623 blsi64 0000000000000003 -> 0000000000000001 0001
   1624 blsi64 0000000000000001 -> 0000000000000001 0001
   1625 blsi64 0000000000000000 -> 0000000000000000 0040
   1626 blsi32 fedc192837475675 -> 0000000000000001 0001
   1627 blsi32 8efcf23ad7e922f3 -> 0000000000000001 0001
   1628 blsi32 7068b90cdf850938 -> 0000000000000008 0001
   1629 blsi32 42db3e5ed85503a5 -> 0000000000000001 0001
   1630 blsi32 35eea72efbea67d7 -> 0000000000000001 0001
   1631 blsi32 232c23d3b476ef47 -> 0000000000000001 0001
   1632 blsi32 1bf0c1bf27fbb3ab -> 0000000000000001 0001
   1633 blsi32 11a1311a29a562ea -> 0000000000000002 0001
   1634 blsi32 0e02582b8350ffd0 -> 0000000000000010 0001
   1635 blsi32 0854b4408f5b9e17 -> 0000000000000001 0001
   1636 blsi32 06bcf33434328063 -> 0000000000000001 0001
   1637 blsi32 0464f596e5f3ab8a -> 0000000000000002 0001
   1638 blsi32 037dac8063df281c -> 0000000000000004 0001
   1639 blsi32 0234910d6d0cfe89 -> 0000000000000001 0001
   1640 blsi32 01c0a27d7eaa2575 -> 0000000000000001 0001
   1641 blsi32 010adda943af43d8 -> 0000000000000008 0001
   1642 blsi32 00d7b2ae8c91c8ce -> 0000000000000002 0001
   1643 blsi32 008cae284a0c2065 -> 0000000000000001 0001
   1644 blsi32 006fc6190eb4fc04 -> 0000000000000004 0001
   1645 blsi32 004686bd6e829ce5 -> 0000000000000001 0001
   1646 blsi32 00380a0b248034f1 -> 0000000000000001 0001
   1647 blsi32 0021536a650d4fc6 -> 0000000000000002 0001
   1648 blsi32 001af3d8d0c8c068 -> 0000000000000008 0001
   1649 blsi32 001193de10460316 -> 0000000000000002 0001
   1650 blsi32 000df6b241dd45c1 -> 0000000000000001 0001
   1651 blsi32 0008d24469947f91 -> 0000000000000001 0001
   1652 blsi32 0007028a17f7fc21 -> 0000000000000001 0001
   1653 blsi32 00042b77370e9574 -> 0000000000000004 0001
   1654 blsi32 00035ecaa6c8cb9c -> 0000000000000004 0001
   1655 blsi32 000232b89c5ca207 -> 0000000000000001 0001
   1656 blsi32 0001bf185a53fb83 -> 0000000000000001 0001
   1657 blsi32 00011a1af9c2f08e -> 0000000000000002 0001
   1658 blsi32 0000e0282bc137ba -> 0000000000000002 0001
   1659 blsi32 0000854daa0b4caf -> 0000000000000001 0001
   1660 blsi32 00006bcf63e2fc01 -> 0000000000000001 0001
   1661 blsi32 0000464f7852a469 -> 0000000000000001 0001
   1662 blsi32 000037dac915aa8f -> 0000000000000001 0001
   1663 blsi32 0000234911b3280d -> 0000000000000001 0001
   1664 blsi32 00001c0a2862c244 -> 0000000000000004 0001
   1665 blsi32 000010addcd6577a -> 0000000000000002 0001
   1666 blsi32 00000d7b2a9b6ac9 -> 0000000000000001 0001
   1667 blsi32 000008cae2719cd4 -> 0000000000000004 0001
   1668 blsi32 000006fc61694403 -> 0000000000000001 0001
   1669 blsi32 000004686be70610 -> 0000000000000010 0001
   1670 blsi32 00000380a0af0023 -> 0000000000000001 0001
   1671 blsi32 0000021536a82984 -> 0000000000000004 0001
   1672 blsi32 000001af3d8f8abd -> 0000000000000001 0001
   1673 blsi32 000001193de14a82 -> 0000000000000002 0001
   1674 blsi32 000000df6b24569d -> 0000000000000001 0001
   1675 blsi32 0000008d2446cc8e -> 0000000000000002 0001
   1676 blsi32 0000007028a18af6 -> 0000000000000002 0001
   1677 blsi32 00000042b7735995 -> 0000000000000001 0001
   1678 blsi32 00000035ecaa6d9d -> 0000000000000001 0001
   1679 blsi32 000000232b89c661 -> 0000000000000001 0001
   1680 blsi32 0000001bf185a509 -> 0000000000000001 0001
   1681 blsi32 00000011a1af9c11 -> 0000000000000001 0001
   1682 blsi32 0000000e0282bbfd -> 0000000000000001 0001
   1683 blsi32 0000000854daa1a4 -> 0000000000000004 0001
   1684 blsi32 00000006bcf63eb9 -> 0000000000000001 0001
   1685 blsi32 0000000464f78590 -> 0000000000000010 0001
   1686 blsi32 000000037dac916c -> 0000000000000004 0001
   1687 blsi32 0000000234911b32 -> 0000000000000002 0001
   1688 blsi32 00000001c0a2862b -> 0000000000000001 0001
   1689 blsi32 000000010addcd65 -> 0000000000000001 0001
   1690 blsi32 00000000d7b2a9b5 -> 0000000000000001 0001
   1691 blsi32 000000008cae2718 -> 0000000000000008 0001
   1692 blsi32 000000006fc61693 -> 0000000000000001 0001
   1693 blsi32 000000004686be6e -> 0000000000000002 0001
   1694 blsi32 00000000380a0af2 -> 0000000000000002 0001
   1695 blsi32 0000000021536a83 -> 0000000000000001 0001
   1696 blsi32 000000001af3d8f7 -> 0000000000000001 0001
   1697 blsi32 000000001193de15 -> 0000000000000001 0001
   1698 blsi32 000000000df6b244 -> 0000000000000004 0001
   1699 blsi32 0000000008d2446b -> 0000000000000001 0001
   1700 blsi32 0000000007028a18 -> 0000000000000008 0001
   1701 blsi32 00000000042b7735 -> 0000000000000001 0001
   1702 blsi32 00000000035ecaa5 -> 0000000000000001 0001
   1703 blsi32 000000000232b89b -> 0000000000000001 0001
   1704 blsi32 0000000001bf185a -> 0000000000000002 0001
   1705 blsi32 00000000011a1af9 -> 0000000000000001 0001
   1706 blsi32 0000000000e0282a -> 0000000000000002 0001
   1707 blsi32 0000000000854da9 -> 0000000000000001 0001
   1708 blsi32 00000000006bcf62 -> 0000000000000002 0001
   1709 blsi32 0000000000464f77 -> 0000000000000001 0001
   1710 blsi32 000000000037dac9 -> 0000000000000001 0001
   1711 blsi32 0000000000234910 -> 0000000000000010 0001
   1712 blsi32 00000000001c0a27 -> 0000000000000001 0001
   1713 blsi32 000000000010add9 -> 0000000000000001 0001
   1714 blsi32 00000000000d7b28 -> 0000000000000008 0001
   1715 blsi32 000000000008cae0 -> 0000000000000020 0001
   1716 blsi32 000000000006fc5f -> 0000000000000001 0001
   1717 blsi32 0000000000046871 -> 0000000000000001 0001
   1718 blsi32 000000000003809d -> 0000000000000001 0001
   1719 blsi32 000000000002152c -> 0000000000000004 0001
   1720 blsi32 000000000001af3b -> 0000000000000001 0001
   1721 blsi32 000000000001193c -> 0000000000000004 0001
   1722 blsi32 000000000000df6a -> 0000000000000002 0001
   1723 blsi32 0000000000008d23 -> 0000000000000001 0001
   1724 blsi32 0000000000007026 -> 0000000000000002 0001
   1725 blsi32 00000000000042b3 -> 0000000000000001 0001
   1726 blsi32 00000000000035e9 -> 0000000000000001 0001
   1727 blsi32 0000000000002329 -> 0000000000000001 0001
   1728 blsi32 0000000000001bef -> 0000000000000001 0001
   1729 blsi32 00000000000011a3 -> 0000000000000001 0001
   1730 blsi32 0000000000000e02 -> 0000000000000002 0001
   1731 blsi32 0000000000000853 -> 0000000000000001 0001
   1732 blsi32 00000000000006ba -> 0000000000000002 0001
   1733 blsi32 0000000000000464 -> 0000000000000004 0001
   1734 blsi32 000000000000037d -> 0000000000000001 0001
   1735 blsi32 0000000000000233 -> 0000000000000001 0001
   1736 blsi32 00000000000001be -> 0000000000000002 0001
   1737 blsi32 0000000000000119 -> 0000000000000001 0001
   1738 blsi32 00000000000000de -> 0000000000000002 0001
   1739 blsi32 000000000000008c -> 0000000000000004 0001
   1740 blsi32 000000000000006f -> 0000000000000001 0001
   1741 blsi32 0000000000000045 -> 0000000000000001 0001
   1742 blsi32 0000000000000037 -> 0000000000000001 0001
   1743 blsi32 0000000000000022 -> 0000000000000002 0001
   1744 blsi32 000000000000001b -> 0000000000000001 0001
   1745 blsi32 0000000000000010 -> 0000000000000010 0001
   1746 blsi32 000000000000000c -> 0000000000000004 0001
   1747 blsi32 0000000000000007 -> 0000000000000001 0001
   1748 blsi32 0000000000000003 -> 0000000000000001 0001
   1749 blsi32 0000000000000001 -> 0000000000000001 0001
   1750 blsi32 0000000000000000 -> 0000000000000000 0040
   1751 blsmsk64 fedc192837475675 -> 0000000000000001 0000
   1752 blsmsk64 8efcf23ad7e922f3 -> 0000000000000001 0000
   1753 blsmsk64 7068b90cdf850938 -> 000000000000000f 0000
   1754 blsmsk64 42db3e5ed85503a5 -> 0000000000000001 0000
   1755 blsmsk64 35eea72efbea67d7 -> 0000000000000001 0000
   1756 blsmsk64 232c23d3b476ef47 -> 0000000000000001 0000
   1757 blsmsk64 1bf0c1bf27fbb3ab -> 0000000000000001 0000
   1758 blsmsk64 11a1311a29a562ea -> 0000000000000003 0000
   1759 blsmsk64 0e02582b8350ffd0 -> 000000000000001f 0000
   1760 blsmsk64 0854b4408f5b9e17 -> 0000000000000001 0000
   1761 blsmsk64 06bcf33434328063 -> 0000000000000001 0000
   1762 blsmsk64 0464f596e5f3ab8a -> 0000000000000003 0000
   1763 blsmsk64 037dac8063df281c -> 0000000000000007 0000
   1764 blsmsk64 0234910d6d0cfe89 -> 0000000000000001 0000
   1765 blsmsk64 01c0a27d7eaa2575 -> 0000000000000001 0000
   1766 blsmsk64 010adda943af43d8 -> 000000000000000f 0000
   1767 blsmsk64 00d7b2ae8c91c8ce -> 0000000000000003 0000
   1768 blsmsk64 008cae284a0c2065 -> 0000000000000001 0000
   1769 blsmsk64 006fc6190eb4fc04 -> 0000000000000007 0000
   1770 blsmsk64 004686bd6e829ce5 -> 0000000000000001 0000
   1771 blsmsk64 00380a0b248034f1 -> 0000000000000001 0000
   1772 blsmsk64 0021536a650d4fc6 -> 0000000000000003 0000
   1773 blsmsk64 001af3d8d0c8c068 -> 000000000000000f 0000
   1774 blsmsk64 001193de10460316 -> 0000000000000003 0000
   1775 blsmsk64 000df6b241dd45c1 -> 0000000000000001 0000
   1776 blsmsk64 0008d24469947f91 -> 0000000000000001 0000
   1777 blsmsk64 0007028a17f7fc21 -> 0000000000000001 0000
   1778 blsmsk64 00042b77370e9574 -> 0000000000000007 0000
   1779 blsmsk64 00035ecaa6c8cb9c -> 0000000000000007 0000
   1780 blsmsk64 000232b89c5ca207 -> 0000000000000001 0000
   1781 blsmsk64 0001bf185a53fb83 -> 0000000000000001 0000
   1782 blsmsk64 00011a1af9c2f08e -> 0000000000000003 0000
   1783 blsmsk64 0000e0282bc137ba -> 0000000000000003 0000
   1784 blsmsk64 0000854daa0b4caf -> 0000000000000001 0000
   1785 blsmsk64 00006bcf63e2fc01 -> 0000000000000001 0000
   1786 blsmsk64 0000464f7852a469 -> 0000000000000001 0000
   1787 blsmsk64 000037dac915aa8f -> 0000000000000001 0000
   1788 blsmsk64 0000234911b3280d -> 0000000000000001 0000
   1789 blsmsk64 00001c0a2862c244 -> 0000000000000007 0000
   1790 blsmsk64 000010addcd6577a -> 0000000000000003 0000
   1791 blsmsk64 00000d7b2a9b6ac9 -> 0000000000000001 0000
   1792 blsmsk64 000008cae2719cd4 -> 0000000000000007 0000
   1793 blsmsk64 000006fc61694403 -> 0000000000000001 0000
   1794 blsmsk64 000004686be70610 -> 000000000000001f 0000
   1795 blsmsk64 00000380a0af0023 -> 0000000000000001 0000
   1796 blsmsk64 0000021536a82984 -> 0000000000000007 0000
   1797 blsmsk64 000001af3d8f8abd -> 0000000000000001 0000
   1798 blsmsk64 000001193de14a82 -> 0000000000000003 0000
   1799 blsmsk64 000000df6b24569d -> 0000000000000001 0000
   1800 blsmsk64 0000008d2446cc8e -> 0000000000000003 0000
   1801 blsmsk64 0000007028a18af6 -> 0000000000000003 0000
   1802 blsmsk64 00000042b7735995 -> 0000000000000001 0000
   1803 blsmsk64 00000035ecaa6d9d -> 0000000000000001 0000
   1804 blsmsk64 000000232b89c661 -> 0000000000000001 0000
   1805 blsmsk64 0000001bf185a509 -> 0000000000000001 0000
   1806 blsmsk64 00000011a1af9c11 -> 0000000000000001 0000
   1807 blsmsk64 0000000e0282bbfd -> 0000000000000001 0000
   1808 blsmsk64 0000000854daa1a4 -> 0000000000000007 0000
   1809 blsmsk64 00000006bcf63eb9 -> 0000000000000001 0000
   1810 blsmsk64 0000000464f78590 -> 000000000000001f 0000
   1811 blsmsk64 000000037dac916c -> 0000000000000007 0000
   1812 blsmsk64 0000000234911b32 -> 0000000000000003 0000
   1813 blsmsk64 00000001c0a2862b -> 0000000000000001 0000
   1814 blsmsk64 000000010addcd65 -> 0000000000000001 0000
   1815 blsmsk64 00000000d7b2a9b5 -> 0000000000000001 0000
   1816 blsmsk64 000000008cae2718 -> 000000000000000f 0000
   1817 blsmsk64 000000006fc61693 -> 0000000000000001 0000
   1818 blsmsk64 000000004686be6e -> 0000000000000003 0000
   1819 blsmsk64 00000000380a0af2 -> 0000000000000003 0000
   1820 blsmsk64 0000000021536a83 -> 0000000000000001 0000
   1821 blsmsk64 000000001af3d8f7 -> 0000000000000001 0000
   1822 blsmsk64 000000001193de15 -> 0000000000000001 0000
   1823 blsmsk64 000000000df6b244 -> 0000000000000007 0000
   1824 blsmsk64 0000000008d2446b -> 0000000000000001 0000
   1825 blsmsk64 0000000007028a18 -> 000000000000000f 0000
   1826 blsmsk64 00000000042b7735 -> 0000000000000001 0000
   1827 blsmsk64 00000000035ecaa5 -> 0000000000000001 0000
   1828 blsmsk64 000000000232b89b -> 0000000000000001 0000
   1829 blsmsk64 0000000001bf185a -> 0000000000000003 0000
   1830 blsmsk64 00000000011a1af9 -> 0000000000000001 0000
   1831 blsmsk64 0000000000e0282a -> 0000000000000003 0000
   1832 blsmsk64 0000000000854da9 -> 0000000000000001 0000
   1833 blsmsk64 00000000006bcf62 -> 0000000000000003 0000
   1834 blsmsk64 0000000000464f77 -> 0000000000000001 0000
   1835 blsmsk64 000000000037dac9 -> 0000000000000001 0000
   1836 blsmsk64 0000000000234910 -> 000000000000001f 0000
   1837 blsmsk64 00000000001c0a27 -> 0000000000000001 0000
   1838 blsmsk64 000000000010add9 -> 0000000000000001 0000
   1839 blsmsk64 00000000000d7b28 -> 000000000000000f 0000
   1840 blsmsk64 000000000008cae0 -> 000000000000003f 0000
   1841 blsmsk64 000000000006fc5f -> 0000000000000001 0000
   1842 blsmsk64 0000000000046871 -> 0000000000000001 0000
   1843 blsmsk64 000000000003809d -> 0000000000000001 0000
   1844 blsmsk64 000000000002152c -> 0000000000000007 0000
   1845 blsmsk64 000000000001af3b -> 0000000000000001 0000
   1846 blsmsk64 000000000001193c -> 0000000000000007 0000
   1847 blsmsk64 000000000000df6a -> 0000000000000003 0000
   1848 blsmsk64 0000000000008d23 -> 0000000000000001 0000
   1849 blsmsk64 0000000000007026 -> 0000000000000003 0000
   1850 blsmsk64 00000000000042b3 -> 0000000000000001 0000
   1851 blsmsk64 00000000000035e9 -> 0000000000000001 0000
   1852 blsmsk64 0000000000002329 -> 0000000000000001 0000
   1853 blsmsk64 0000000000001bef -> 0000000000000001 0000
   1854 blsmsk64 00000000000011a3 -> 0000000000000001 0000
   1855 blsmsk64 0000000000000e02 -> 0000000000000003 0000
   1856 blsmsk64 0000000000000853 -> 0000000000000001 0000
   1857 blsmsk64 00000000000006ba -> 0000000000000003 0000
   1858 blsmsk64 0000000000000464 -> 0000000000000007 0000
   1859 blsmsk64 000000000000037d -> 0000000000000001 0000
   1860 blsmsk64 0000000000000233 -> 0000000000000001 0000
   1861 blsmsk64 00000000000001be -> 0000000000000003 0000
   1862 blsmsk64 0000000000000119 -> 0000000000000001 0000
   1863 blsmsk64 00000000000000de -> 0000000000000003 0000
   1864 blsmsk64 000000000000008c -> 0000000000000007 0000
   1865 blsmsk64 000000000000006f -> 0000000000000001 0000
   1866 blsmsk64 0000000000000045 -> 0000000000000001 0000
   1867 blsmsk64 0000000000000037 -> 0000000000000001 0000
   1868 blsmsk64 0000000000000022 -> 0000000000000003 0000
   1869 blsmsk64 000000000000001b -> 0000000000000001 0000
   1870 blsmsk64 0000000000000010 -> 000000000000001f 0000
   1871 blsmsk64 000000000000000c -> 0000000000000007 0000
   1872 blsmsk64 0000000000000007 -> 0000000000000001 0000
   1873 blsmsk64 0000000000000003 -> 0000000000000001 0000
   1874 blsmsk64 0000000000000001 -> 0000000000000001 0000
   1875 blsmsk64 0000000000000000 -> ffffffffffffffff 0081
   1876 blsmsk32 fedc192837475675 -> 0000000000000001 0000
   1877 blsmsk32 8efcf23ad7e922f3 -> 0000000000000001 0000
   1878 blsmsk32 7068b90cdf850938 -> 000000000000000f 0000
   1879 blsmsk32 42db3e5ed85503a5 -> 0000000000000001 0000
   1880 blsmsk32 35eea72efbea67d7 -> 0000000000000001 0000
   1881 blsmsk32 232c23d3b476ef47 -> 0000000000000001 0000
   1882 blsmsk32 1bf0c1bf27fbb3ab -> 0000000000000001 0000
   1883 blsmsk32 11a1311a29a562ea -> 0000000000000003 0000
   1884 blsmsk32 0e02582b8350ffd0 -> 000000000000001f 0000
   1885 blsmsk32 0854b4408f5b9e17 -> 0000000000000001 0000
   1886 blsmsk32 06bcf33434328063 -> 0000000000000001 0000
   1887 blsmsk32 0464f596e5f3ab8a -> 0000000000000003 0000
   1888 blsmsk32 037dac8063df281c -> 0000000000000007 0000
   1889 blsmsk32 0234910d6d0cfe89 -> 0000000000000001 0000
   1890 blsmsk32 01c0a27d7eaa2575 -> 0000000000000001 0000
   1891 blsmsk32 010adda943af43d8 -> 000000000000000f 0000
   1892 blsmsk32 00d7b2ae8c91c8ce -> 0000000000000003 0000
   1893 blsmsk32 008cae284a0c2065 -> 0000000000000001 0000
   1894 blsmsk32 006fc6190eb4fc04 -> 0000000000000007 0000
   1895 blsmsk32 004686bd6e829ce5 -> 0000000000000001 0000
   1896 blsmsk32 00380a0b248034f1 -> 0000000000000001 0000
   1897 blsmsk32 0021536a650d4fc6 -> 0000000000000003 0000
   1898 blsmsk32 001af3d8d0c8c068 -> 000000000000000f 0000
   1899 blsmsk32 001193de10460316 -> 0000000000000003 0000
   1900 blsmsk32 000df6b241dd45c1 -> 0000000000000001 0000
   1901 blsmsk32 0008d24469947f91 -> 0000000000000001 0000
   1902 blsmsk32 0007028a17f7fc21 -> 0000000000000001 0000
   1903 blsmsk32 00042b77370e9574 -> 0000000000000007 0000
   1904 blsmsk32 00035ecaa6c8cb9c -> 0000000000000007 0000
   1905 blsmsk32 000232b89c5ca207 -> 0000000000000001 0000
   1906 blsmsk32 0001bf185a53fb83 -> 0000000000000001 0000
   1907 blsmsk32 00011a1af9c2f08e -> 0000000000000003 0000
   1908 blsmsk32 0000e0282bc137ba -> 0000000000000003 0000
   1909 blsmsk32 0000854daa0b4caf -> 0000000000000001 0000
   1910 blsmsk32 00006bcf63e2fc01 -> 0000000000000001 0000
   1911 blsmsk32 0000464f7852a469 -> 0000000000000001 0000
   1912 blsmsk32 000037dac915aa8f -> 0000000000000001 0000
   1913 blsmsk32 0000234911b3280d -> 0000000000000001 0000
   1914 blsmsk32 00001c0a2862c244 -> 0000000000000007 0000
   1915 blsmsk32 000010addcd6577a -> 0000000000000003 0000
   1916 blsmsk32 00000d7b2a9b6ac9 -> 0000000000000001 0000
   1917 blsmsk32 000008cae2719cd4 -> 0000000000000007 0000
   1918 blsmsk32 000006fc61694403 -> 0000000000000001 0000
   1919 blsmsk32 000004686be70610 -> 000000000000001f 0000
   1920 blsmsk32 00000380a0af0023 -> 0000000000000001 0000
   1921 blsmsk32 0000021536a82984 -> 0000000000000007 0000
   1922 blsmsk32 000001af3d8f8abd -> 0000000000000001 0000
   1923 blsmsk32 000001193de14a82 -> 0000000000000003 0000
   1924 blsmsk32 000000df6b24569d -> 0000000000000001 0000
   1925 blsmsk32 0000008d2446cc8e -> 0000000000000003 0000
   1926 blsmsk32 0000007028a18af6 -> 0000000000000003 0000
   1927 blsmsk32 00000042b7735995 -> 0000000000000001 0000
   1928 blsmsk32 00000035ecaa6d9d -> 0000000000000001 0000
   1929 blsmsk32 000000232b89c661 -> 0000000000000001 0000
   1930 blsmsk32 0000001bf185a509 -> 0000000000000001 0000
   1931 blsmsk32 00000011a1af9c11 -> 0000000000000001 0000
   1932 blsmsk32 0000000e0282bbfd -> 0000000000000001 0000
   1933 blsmsk32 0000000854daa1a4 -> 0000000000000007 0000
   1934 blsmsk32 00000006bcf63eb9 -> 0000000000000001 0000
   1935 blsmsk32 0000000464f78590 -> 000000000000001f 0000
   1936 blsmsk32 000000037dac916c -> 0000000000000007 0000
   1937 blsmsk32 0000000234911b32 -> 0000000000000003 0000
   1938 blsmsk32 00000001c0a2862b -> 0000000000000001 0000
   1939 blsmsk32 000000010addcd65 -> 0000000000000001 0000
   1940 blsmsk32 00000000d7b2a9b5 -> 0000000000000001 0000
   1941 blsmsk32 000000008cae2718 -> 000000000000000f 0000
   1942 blsmsk32 000000006fc61693 -> 0000000000000001 0000
   1943 blsmsk32 000000004686be6e -> 0000000000000003 0000
   1944 blsmsk32 00000000380a0af2 -> 0000000000000003 0000
   1945 blsmsk32 0000000021536a83 -> 0000000000000001 0000
   1946 blsmsk32 000000001af3d8f7 -> 0000000000000001 0000
   1947 blsmsk32 000000001193de15 -> 0000000000000001 0000
   1948 blsmsk32 000000000df6b244 -> 0000000000000007 0000
   1949 blsmsk32 0000000008d2446b -> 0000000000000001 0000
   1950 blsmsk32 0000000007028a18 -> 000000000000000f 0000
   1951 blsmsk32 00000000042b7735 -> 0000000000000001 0000
   1952 blsmsk32 00000000035ecaa5 -> 0000000000000001 0000
   1953 blsmsk32 000000000232b89b -> 0000000000000001 0000
   1954 blsmsk32 0000000001bf185a -> 0000000000000003 0000
   1955 blsmsk32 00000000011a1af9 -> 0000000000000001 0000
   1956 blsmsk32 0000000000e0282a -> 0000000000000003 0000
   1957 blsmsk32 0000000000854da9 -> 0000000000000001 0000
   1958 blsmsk32 00000000006bcf62 -> 0000000000000003 0000
   1959 blsmsk32 0000000000464f77 -> 0000000000000001 0000
   1960 blsmsk32 000000000037dac9 -> 0000000000000001 0000
   1961 blsmsk32 0000000000234910 -> 000000000000001f 0000
   1962 blsmsk32 00000000001c0a27 -> 0000000000000001 0000
   1963 blsmsk32 000000000010add9 -> 0000000000000001 0000
   1964 blsmsk32 00000000000d7b28 -> 000000000000000f 0000
   1965 blsmsk32 000000000008cae0 -> 000000000000003f 0000
   1966 blsmsk32 000000000006fc5f -> 0000000000000001 0000
   1967 blsmsk32 0000000000046871 -> 0000000000000001 0000
   1968 blsmsk32 000000000003809d -> 0000000000000001 0000
   1969 blsmsk32 000000000002152c -> 0000000000000007 0000
   1970 blsmsk32 000000000001af3b -> 0000000000000001 0000
   1971 blsmsk32 000000000001193c -> 0000000000000007 0000
   1972 blsmsk32 000000000000df6a -> 0000000000000003 0000
   1973 blsmsk32 0000000000008d23 -> 0000000000000001 0000
   1974 blsmsk32 0000000000007026 -> 0000000000000003 0000
   1975 blsmsk32 00000000000042b3 -> 0000000000000001 0000
   1976 blsmsk32 00000000000035e9 -> 0000000000000001 0000
   1977 blsmsk32 0000000000002329 -> 0000000000000001 0000
   1978 blsmsk32 0000000000001bef -> 0000000000000001 0000
   1979 blsmsk32 00000000000011a3 -> 0000000000000001 0000
   1980 blsmsk32 0000000000000e02 -> 0000000000000003 0000
   1981 blsmsk32 0000000000000853 -> 0000000000000001 0000
   1982 blsmsk32 00000000000006ba -> 0000000000000003 0000
   1983 blsmsk32 0000000000000464 -> 0000000000000007 0000
   1984 blsmsk32 000000000000037d -> 0000000000000001 0000
   1985 blsmsk32 0000000000000233 -> 0000000000000001 0000
   1986 blsmsk32 00000000000001be -> 0000000000000003 0000
   1987 blsmsk32 0000000000000119 -> 0000000000000001 0000
   1988 blsmsk32 00000000000000de -> 0000000000000003 0000
   1989 blsmsk32 000000000000008c -> 0000000000000007 0000
   1990 blsmsk32 000000000000006f -> 0000000000000001 0000
   1991 blsmsk32 0000000000000045 -> 0000000000000001 0000
   1992 blsmsk32 0000000000000037 -> 0000000000000001 0000
   1993 blsmsk32 0000000000000022 -> 0000000000000003 0000
   1994 blsmsk32 000000000000001b -> 0000000000000001 0000
   1995 blsmsk32 0000000000000010 -> 000000000000001f 0000
   1996 blsmsk32 000000000000000c -> 0000000000000007 0000
   1997 blsmsk32 0000000000000007 -> 0000000000000001 0000
   1998 blsmsk32 0000000000000003 -> 0000000000000001 0000
   1999 blsmsk32 0000000000000001 -> 0000000000000001 0000
   2000 blsmsk32 0000000000000000 -> 00000000ffffffff 0081
   2001 blsr64 fedc192837475675 -> fedc192837475674 0080
   2002 blsr64 8efcf23ad7e922f3 -> 8efcf23ad7e922f2 0080
   2003 blsr64 7068b90cdf850938 -> 7068b90cdf850930 0000
   2004 blsr64 42db3e5ed85503a5 -> 42db3e5ed85503a4 0000
   2005 blsr64 35eea72efbea67d7 -> 35eea72efbea67d6 0000
   2006 blsr64 232c23d3b476ef47 -> 232c23d3b476ef46 0000
   2007 blsr64 1bf0c1bf27fbb3ab -> 1bf0c1bf27fbb3aa 0000
   2008 blsr64 11a1311a29a562ea -> 11a1311a29a562e8 0000
   2009 blsr64 0e02582b8350ffd0 -> 0e02582b8350ffc0 0000
   2010 blsr64 0854b4408f5b9e17 -> 0854b4408f5b9e16 0000
   2011 blsr64 06bcf33434328063 -> 06bcf33434328062 0000
   2012 blsr64 0464f596e5f3ab8a -> 0464f596e5f3ab88 0000
   2013 blsr64 037dac8063df281c -> 037dac8063df2818 0000
   2014 blsr64 0234910d6d0cfe89 -> 0234910d6d0cfe88 0000
   2015 blsr64 01c0a27d7eaa2575 -> 01c0a27d7eaa2574 0000
   2016 blsr64 010adda943af43d8 -> 010adda943af43d0 0000
   2017 blsr64 00d7b2ae8c91c8ce -> 00d7b2ae8c91c8cc 0000
   2018 blsr64 008cae284a0c2065 -> 008cae284a0c2064 0000
   2019 blsr64 006fc6190eb4fc04 -> 006fc6190eb4fc00 0000
   2020 blsr64 004686bd6e829ce5 -> 004686bd6e829ce4 0000
   2021 blsr64 00380a0b248034f1 -> 00380a0b248034f0 0000
   2022 blsr64 0021536a650d4fc6 -> 0021536a650d4fc4 0000
   2023 blsr64 001af3d8d0c8c068 -> 001af3d8d0c8c060 0000
   2024 blsr64 001193de10460316 -> 001193de10460314 0000
   2025 blsr64 000df6b241dd45c1 -> 000df6b241dd45c0 0000
   2026 blsr64 0008d24469947f91 -> 0008d24469947f90 0000
   2027 blsr64 0007028a17f7fc21 -> 0007028a17f7fc20 0000
   2028 blsr64 00042b77370e9574 -> 00042b77370e9570 0000
   2029 blsr64 00035ecaa6c8cb9c -> 00035ecaa6c8cb98 0000
   2030 blsr64 000232b89c5ca207 -> 000232b89c5ca206 0000
   2031 blsr64 0001bf185a53fb83 -> 0001bf185a53fb82 0000
   2032 blsr64 00011a1af9c2f08e -> 00011a1af9c2f08c 0000
   2033 blsr64 0000e0282bc137ba -> 0000e0282bc137b8 0000
   2034 blsr64 0000854daa0b4caf -> 0000854daa0b4cae 0000
   2035 blsr64 00006bcf63e2fc01 -> 00006bcf63e2fc00 0000
   2036 blsr64 0000464f7852a469 -> 0000464f7852a468 0000
   2037 blsr64 000037dac915aa8f -> 000037dac915aa8e 0000
   2038 blsr64 0000234911b3280d -> 0000234911b3280c 0000
   2039 blsr64 00001c0a2862c244 -> 00001c0a2862c240 0000
   2040 blsr64 000010addcd6577a -> 000010addcd65778 0000
   2041 blsr64 00000d7b2a9b6ac9 -> 00000d7b2a9b6ac8 0000
   2042 blsr64 000008cae2719cd4 -> 000008cae2719cd0 0000
   2043 blsr64 000006fc61694403 -> 000006fc61694402 0000
   2044 blsr64 000004686be70610 -> 000004686be70600 0000
   2045 blsr64 00000380a0af0023 -> 00000380a0af0022 0000
   2046 blsr64 0000021536a82984 -> 0000021536a82980 0000
   2047 blsr64 000001af3d8f8abd -> 000001af3d8f8abc 0000
   2048 blsr64 000001193de14a82 -> 000001193de14a80 0000
   2049 blsr64 000000df6b24569d -> 000000df6b24569c 0000
   2050 blsr64 0000008d2446cc8e -> 0000008d2446cc8c 0000
   2051 blsr64 0000007028a18af6 -> 0000007028a18af4 0000
   2052 blsr64 00000042b7735995 -> 00000042b7735994 0000
   2053 blsr64 00000035ecaa6d9d -> 00000035ecaa6d9c 0000
   2054 blsr64 000000232b89c661 -> 000000232b89c660 0000
   2055 blsr64 0000001bf185a509 -> 0000001bf185a508 0000
   2056 blsr64 00000011a1af9c11 -> 00000011a1af9c10 0000
   2057 blsr64 0000000e0282bbfd -> 0000000e0282bbfc 0000
   2058 blsr64 0000000854daa1a4 -> 0000000854daa1a0 0000
   2059 blsr64 00000006bcf63eb9 -> 00000006bcf63eb8 0000
   2060 blsr64 0000000464f78590 -> 0000000464f78580 0000
   2061 blsr64 000000037dac916c -> 000000037dac9168 0000
   2062 blsr64 0000000234911b32 -> 0000000234911b30 0000
   2063 blsr64 00000001c0a2862b -> 00000001c0a2862a 0000
   2064 blsr64 000000010addcd65 -> 000000010addcd64 0000
   2065 blsr64 00000000d7b2a9b5 -> 00000000d7b2a9b4 0000
   2066 blsr64 000000008cae2718 -> 000000008cae2710 0000
   2067 blsr64 000000006fc61693 -> 000000006fc61692 0000
   2068 blsr64 000000004686be6e -> 000000004686be6c 0000
   2069 blsr64 00000000380a0af2 -> 00000000380a0af0 0000
   2070 blsr64 0000000021536a83 -> 0000000021536a82 0000
   2071 blsr64 000000001af3d8f7 -> 000000001af3d8f6 0000
   2072 blsr64 000000001193de15 -> 000000001193de14 0000
   2073 blsr64 000000000df6b244 -> 000000000df6b240 0000
   2074 blsr64 0000000008d2446b -> 0000000008d2446a 0000
   2075 blsr64 0000000007028a18 -> 0000000007028a10 0000
   2076 blsr64 00000000042b7735 -> 00000000042b7734 0000
   2077 blsr64 00000000035ecaa5 -> 00000000035ecaa4 0000
   2078 blsr64 000000000232b89b -> 000000000232b89a 0000
   2079 blsr64 0000000001bf185a -> 0000000001bf1858 0000
   2080 blsr64 00000000011a1af9 -> 00000000011a1af8 0000
   2081 blsr64 0000000000e0282a -> 0000000000e02828 0000
   2082 blsr64 0000000000854da9 -> 0000000000854da8 0000
   2083 blsr64 00000000006bcf62 -> 00000000006bcf60 0000
   2084 blsr64 0000000000464f77 -> 0000000000464f76 0000
   2085 blsr64 000000000037dac9 -> 000000000037dac8 0000
   2086 blsr64 0000000000234910 -> 0000000000234900 0000
   2087 blsr64 00000000001c0a27 -> 00000000001c0a26 0000
   2088 blsr64 000000000010add9 -> 000000000010add8 0000
   2089 blsr64 00000000000d7b28 -> 00000000000d7b20 0000
   2090 blsr64 000000000008cae0 -> 000000000008cac0 0000
   2091 blsr64 000000000006fc5f -> 000000000006fc5e 0000
   2092 blsr64 0000000000046871 -> 0000000000046870 0000
   2093 blsr64 000000000003809d -> 000000000003809c 0000
   2094 blsr64 000000000002152c -> 0000000000021528 0000
   2095 blsr64 000000000001af3b -> 000000000001af3a 0000
   2096 blsr64 000000000001193c -> 0000000000011938 0000
   2097 blsr64 000000000000df6a -> 000000000000df68 0000
   2098 blsr64 0000000000008d23 -> 0000000000008d22 0000
   2099 blsr64 0000000000007026 -> 0000000000007024 0000
   2100 blsr64 00000000000042b3 -> 00000000000042b2 0000
   2101 blsr64 00000000000035e9 -> 00000000000035e8 0000
   2102 blsr64 0000000000002329 -> 0000000000002328 0000
   2103 blsr64 0000000000001bef -> 0000000000001bee 0000
   2104 blsr64 00000000000011a3 -> 00000000000011a2 0000
   2105 blsr64 0000000000000e02 -> 0000000000000e00 0000
   2106 blsr64 0000000000000853 -> 0000000000000852 0000
   2107 blsr64 00000000000006ba -> 00000000000006b8 0000
   2108 blsr64 0000000000000464 -> 0000000000000460 0000
   2109 blsr64 000000000000037d -> 000000000000037c 0000
   2110 blsr64 0000000000000233 -> 0000000000000232 0000
   2111 blsr64 00000000000001be -> 00000000000001bc 0000
   2112 blsr64 0000000000000119 -> 0000000000000118 0000
   2113 blsr64 00000000000000de -> 00000000000000dc 0000
   2114 blsr64 000000000000008c -> 0000000000000088 0000
   2115 blsr64 000000000000006f -> 000000000000006e 0000
   2116 blsr64 0000000000000045 -> 0000000000000044 0000
   2117 blsr64 0000000000000037 -> 0000000000000036 0000
   2118 blsr64 0000000000000022 -> 0000000000000020 0000
   2119 blsr64 000000000000001b -> 000000000000001a 0000
   2120 blsr64 0000000000000010 -> 0000000000000000 0040
   2121 blsr64 000000000000000c -> 0000000000000008 0000
   2122 blsr64 0000000000000007 -> 0000000000000006 0000
   2123 blsr64 0000000000000003 -> 0000000000000002 0000
   2124 blsr64 0000000000000001 -> 0000000000000000 0040
   2125 blsr64 0000000000000000 -> 0000000000000000 0041
   2126 blsr32 fedc192837475675 -> 0000000037475674 0000
   2127 blsr32 8efcf23ad7e922f3 -> 00000000d7e922f2 0080
   2128 blsr32 7068b90cdf850938 -> 00000000df850930 0080
   2129 blsr32 42db3e5ed85503a5 -> 00000000d85503a4 0080
   2130 blsr32 35eea72efbea67d7 -> 00000000fbea67d6 0080
   2131 blsr32 232c23d3b476ef47 -> 00000000b476ef46 0080
   2132 blsr32 1bf0c1bf27fbb3ab -> 0000000027fbb3aa 0000
   2133 blsr32 11a1311a29a562ea -> 0000000029a562e8 0000
   2134 blsr32 0e02582b8350ffd0 -> 000000008350ffc0 0080
   2135 blsr32 0854b4408f5b9e17 -> 000000008f5b9e16 0080
   2136 blsr32 06bcf33434328063 -> 0000000034328062 0000
   2137 blsr32 0464f596e5f3ab8a -> 00000000e5f3ab88 0080
   2138 blsr32 037dac8063df281c -> 0000000063df2818 0000
   2139 blsr32 0234910d6d0cfe89 -> 000000006d0cfe88 0000
   2140 blsr32 01c0a27d7eaa2575 -> 000000007eaa2574 0000
   2141 blsr32 010adda943af43d8 -> 0000000043af43d0 0000
   2142 blsr32 00d7b2ae8c91c8ce -> 000000008c91c8cc 0080
   2143 blsr32 008cae284a0c2065 -> 000000004a0c2064 0000
   2144 blsr32 006fc6190eb4fc04 -> 000000000eb4fc00 0000
   2145 blsr32 004686bd6e829ce5 -> 000000006e829ce4 0000
   2146 blsr32 00380a0b248034f1 -> 00000000248034f0 0000
   2147 blsr32 0021536a650d4fc6 -> 00000000650d4fc4 0000
   2148 blsr32 001af3d8d0c8c068 -> 00000000d0c8c060 0080
   2149 blsr32 001193de10460316 -> 0000000010460314 0000
   2150 blsr32 000df6b241dd45c1 -> 0000000041dd45c0 0000
   2151 blsr32 0008d24469947f91 -> 0000000069947f90 0000
   2152 blsr32 0007028a17f7fc21 -> 0000000017f7fc20 0000
   2153 blsr32 00042b77370e9574 -> 00000000370e9570 0000
   2154 blsr32 00035ecaa6c8cb9c -> 00000000a6c8cb98 0080
   2155 blsr32 000232b89c5ca207 -> 000000009c5ca206 0080
   2156 blsr32 0001bf185a53fb83 -> 000000005a53fb82 0000
   2157 blsr32 00011a1af9c2f08e -> 00000000f9c2f08c 0080
   2158 blsr32 0000e0282bc137ba -> 000000002bc137b8 0000
   2159 blsr32 0000854daa0b4caf -> 00000000aa0b4cae 0080
   2160 blsr32 00006bcf63e2fc01 -> 0000000063e2fc00 0000
   2161 blsr32 0000464f7852a469 -> 000000007852a468 0000
   2162 blsr32 000037dac915aa8f -> 00000000c915aa8e 0080
   2163 blsr32 0000234911b3280d -> 0000000011b3280c 0000
   2164 blsr32 00001c0a2862c244 -> 000000002862c240 0000
   2165 blsr32 000010addcd6577a -> 00000000dcd65778 0080
   2166 blsr32 00000d7b2a9b6ac9 -> 000000002a9b6ac8 0000
   2167 blsr32 000008cae2719cd4 -> 00000000e2719cd0 0080
   2168 blsr32 000006fc61694403 -> 0000000061694402 0000
   2169 blsr32 000004686be70610 -> 000000006be70600 0000
   2170 blsr32 00000380a0af0023 -> 00000000a0af0022 0080
   2171 blsr32 0000021536a82984 -> 0000000036a82980 0000
   2172 blsr32 000001af3d8f8abd -> 000000003d8f8abc 0000
   2173 blsr32 000001193de14a82 -> 000000003de14a80 0000
   2174 blsr32 000000df6b24569d -> 000000006b24569c 0000
   2175 blsr32 0000008d2446cc8e -> 000000002446cc8c 0000
   2176 blsr32 0000007028a18af6 -> 0000000028a18af4 0000
   2177 blsr32 00000042b7735995 -> 00000000b7735994 0080
   2178 blsr32 00000035ecaa6d9d -> 00000000ecaa6d9c 0080
   2179 blsr32 000000232b89c661 -> 000000002b89c660 0000
   2180 blsr32 0000001bf185a509 -> 00000000f185a508 0080
   2181 blsr32 00000011a1af9c11 -> 00000000a1af9c10 0080
   2182 blsr32 0000000e0282bbfd -> 000000000282bbfc 0000
   2183 blsr32 0000000854daa1a4 -> 0000000054daa1a0 0000
   2184 blsr32 00000006bcf63eb9 -> 00000000bcf63eb8 0080
   2185 blsr32 0000000464f78590 -> 0000000064f78580 0000
   2186 blsr32 000000037dac916c -> 000000007dac9168 0000
   2187 blsr32 0000000234911b32 -> 0000000034911b30 0000
   2188 blsr32 00000001c0a2862b -> 00000000c0a2862a 0080
   2189 blsr32 000000010addcd65 -> 000000000addcd64 0000
   2190 blsr32 00000000d7b2a9b5 -> 00000000d7b2a9b4 0080
   2191 blsr32 000000008cae2718 -> 000000008cae2710 0080
   2192 blsr32 000000006fc61693 -> 000000006fc61692 0000
   2193 blsr32 000000004686be6e -> 000000004686be6c 0000
   2194 blsr32 00000000380a0af2 -> 00000000380a0af0 0000
   2195 blsr32 0000000021536a83 -> 0000000021536a82 0000
   2196 blsr32 000000001af3d8f7 -> 000000001af3d8f6 0000
   2197 blsr32 000000001193de15 -> 000000001193de14 0000
   2198 blsr32 000000000df6b244 -> 000000000df6b240 0000
   2199 blsr32 0000000008d2446b -> 0000000008d2446a 0000
   2200 blsr32 0000000007028a18 -> 0000000007028a10 0000
   2201 blsr32 00000000042b7735 -> 00000000042b7734 0000
   2202 blsr32 00000000035ecaa5 -> 00000000035ecaa4 0000
   2203 blsr32 000000000232b89b -> 000000000232b89a 0000
   2204 blsr32 0000000001bf185a -> 0000000001bf1858 0000
   2205 blsr32 00000000011a1af9 -> 00000000011a1af8 0000
   2206 blsr32 0000000000e0282a -> 0000000000e02828 0000
   2207 blsr32 0000000000854da9 -> 0000000000854da8 0000
   2208 blsr32 00000000006bcf62 -> 00000000006bcf60 0000
   2209 blsr32 0000000000464f77 -> 0000000000464f76 0000
   2210 blsr32 000000000037dac9 -> 000000000037dac8 0000
   2211 blsr32 0000000000234910 -> 0000000000234900 0000
   2212 blsr32 00000000001c0a27 -> 00000000001c0a26 0000
   2213 blsr32 000000000010add9 -> 000000000010add8 0000
   2214 blsr32 00000000000d7b28 -> 00000000000d7b20 0000
   2215 blsr32 000000000008cae0 -> 000000000008cac0 0000
   2216 blsr32 000000000006fc5f -> 000000000006fc5e 0000
   2217 blsr32 0000000000046871 -> 0000000000046870 0000
   2218 blsr32 000000000003809d -> 000000000003809c 0000
   2219 blsr32 000000000002152c -> 0000000000021528 0000
   2220 blsr32 000000000001af3b -> 000000000001af3a 0000
   2221 blsr32 000000000001193c -> 0000000000011938 0000
   2222 blsr32 000000000000df6a -> 000000000000df68 0000
   2223 blsr32 0000000000008d23 -> 0000000000008d22 0000
   2224 blsr32 0000000000007026 -> 0000000000007024 0000
   2225 blsr32 00000000000042b3 -> 00000000000042b2 0000
   2226 blsr32 00000000000035e9 -> 00000000000035e8 0000
   2227 blsr32 0000000000002329 -> 0000000000002328 0000
   2228 blsr32 0000000000001bef -> 0000000000001bee 0000
   2229 blsr32 00000000000011a3 -> 00000000000011a2 0000
   2230 blsr32 0000000000000e02 -> 0000000000000e00 0000
   2231 blsr32 0000000000000853 -> 0000000000000852 0000
   2232 blsr32 00000000000006ba -> 00000000000006b8 0000
   2233 blsr32 0000000000000464 -> 0000000000000460 0000
   2234 blsr32 000000000000037d -> 000000000000037c 0000
   2235 blsr32 0000000000000233 -> 0000000000000232 0000
   2236 blsr32 00000000000001be -> 00000000000001bc 0000
   2237 blsr32 0000000000000119 -> 0000000000000118 0000
   2238 blsr32 00000000000000de -> 00000000000000dc 0000
   2239 blsr32 000000000000008c -> 0000000000000088 0000
   2240 blsr32 000000000000006f -> 000000000000006e 0000
   2241 blsr32 0000000000000045 -> 0000000000000044 0000
   2242 blsr32 0000000000000037 -> 0000000000000036 0000
   2243 blsr32 0000000000000022 -> 0000000000000020 0000
   2244 blsr32 000000000000001b -> 000000000000001a 0000
   2245 blsr32 0000000000000010 -> 0000000000000000 0040
   2246 blsr32 000000000000000c -> 0000000000000008 0000
   2247 blsr32 0000000000000007 -> 0000000000000006 0000
   2248 blsr32 0000000000000003 -> 0000000000000002 0000
   2249 blsr32 0000000000000001 -> 0000000000000000 0040
   2250 blsr32 0000000000000000 -> 0000000000000000 0041
   2251 bextr64 fedc192837475675 57657438291cdef0 -> 0000000000000000 0040
   2252 bextr64 8efcf23ad7e922f3 452015034e67c955 -> 0000000000000000 0040
   2253 bextr64 7068b90cdf850938 37e901ef56b302d6 -> 0000000000000037 0000
   2254 bextr64 42db3e5ed85503a5 2348b20e142667cf -> 0000000000000000 0040
   2255 bextr64 35eea72efbea67d7 1c09d34c26e1c212 -> 0000000000000000 0040
   2256 bextr64 232c23d3b476ef47 10ad37922d51847f -> 0000000000000000 0040
   2257 bextr64 1bf0c1bf27fbb3ab 0d7b015093984564 -> 0000000000000000 0040
   2258 bextr64 11a1311a29a562ea 08cac1103a60926a -> 0000000000000000 0040
   2259 bextr64 0e02582b8350ffd0 06fc5681c8cd2b10 -> 0000000000000000 0040
   2260 bextr64 0854b4408f5b9e17 04687224d51a87fa -> 00000008d0e449aa 0000
   2261 bextr64 06bcf33434328063 03809eacb986283a -> 0000000000000000 0040
   2262 bextr64 0464f596e5f3ab8a 02152e09a7eb825e -> 0000000000000000 0040
   2263 bextr64 037dac8063df281c 01af3c969c7725a6 -> 000000001af3c969 0000
   2264 bextr64 0234910d6d0cfe89 01193d5aad28c013 -> 0000000000000000 0040
   2265 bextr64 01c0a27d7eaa2575 00df6b2373776c4a -> 0000000000000000 0040
   2266 bextr64 010adda943af43d8 008d24457d4341d1 -> 0000000000000000 0040
   2267 bextr64 00d7b2ae8c91c8ce 007028a17fc8b89e -> 0000000000000000 0040
   2268 bextr64 008cae284a0c2065 0042b77370e42ef0 -> 0000000000000000 0040
   2269 bextr64 006fc6190eb4fc04 0035ecaa6c888c28 -> 00035ecaa6c888c2 0000
   2270 bextr64 004686bd6e829ce5 00232b89c5c8c9b6 -> 0000000000000000 0040
   2271 bextr64 00380a0b248034f1 001bf185a53e82dc -> 0000000000000000 0040
   2272 bextr64 0021536a650d4fc6 0011a1af9c2edaa2 -> 0000000000000000 0040
   2273 bextr64 001af3d8d0c8c068 000e0282bc13b27b -> 0000000000000000 0040
   2274 bextr64 001193de10460316 000854daa0b4cc02 -> 0000000000000002 0000
   2275 bextr64 000df6b241dd45c1 0006bcf63e2fbf3d -> 0000000000000000 0040
   2276 bextr64 0008d24469947f91 000464f7852a566a -> 0000000000000000 0040
   2277 bextr64 0007028a17f7fc21 00037dac915ab5c5 -> 000000000001bed6 0000
   2278 bextr64 00042b77370e9574 000234911b32831c -> 0000000000000000 0040
   2279 bextr64 00035ecaa6c8cb9c 0001c0a2862c25c1 -> 0000000000000000 0040
   2280 bextr64 000232b89c5ca207 00010addcd657882 -> 00000215bb9acaf1 0000
   2281 bextr64 0001bf185a53fb83 0000d7b2a9b6ab1d -> 0000000000000000 0040
   2282 bextr64 00011a1af9c2f08e 00008cae2719cda3 -> 0000000000000000 0040
   2283 bextr64 0000e0282bc137ba 00006fc61694404d -> 0000000000000000 0040
   2284 bextr64 0000854daa0b4caf 00004686be70612c -> 0000000000000000 0040
   2285 bextr64 00006bcf63e2fc01 0000380a0af0025a -> 00001c050578012d 0000
   2286 bextr64 0000464f7852a469 000021536a829879 -> 0000000000000000 0040
   2287 bextr64 000037dac915aa8f 00001af3d8f8abea -> 0000000000000000 0040
   2288 bextr64 0000234911b3280d 00001193de14a839 -> 000000008c9ef0a5 0000
   2289 bextr64 00001c0a2862c244 00000df6b24569e5 -> 0000000000000000 0040
   2290 bextr64 000010addcd6577a 000008d2446cc8f9 -> 0000000000000000 0040
   2291 bextr64 00000d7b2a9b6ac9 000007028a18af7d -> 0000000000000000 0040
   2292 bextr64 000008cae2719cd4 0000042b77359960 -> 0000000000000000 0040
   2293 bextr64 000006fc61694403 0000035ecaa6d9df -> 0000006bd954db3b 0000
   2294 bextr64 000004686be70610 00000232b89c662a -> 000000000000001c 0000
   2295 bextr64 00000380a0af0023 000001bf185a50b0 -> 0000000000000000 0040
   2296 bextr64 0000021536a82984 0000011a1af9c13b -> 0000000000000000 0040
   2297 bextr64 000001af3d8f8abd 000000e0282bc000 -> 0000000000000000 0040
   2298 bextr64 000001193de14a82 000000854daa0a5a -> 0000000000000000 0040
   2299 bextr64 000000df6b24569d 0000006bcf63e2b5 -> 0000000000000000 0040
   2300 bextr64 0000008d2446cc8e 000000464f7852a0 -> 0000000000000000 0040
   2301 bextr64 0000007028a18af6 00000037dac915a7 -> 0000000000000000 0040
   2302 bextr64 00000042b7735995 000000234911b322 -> 0000000000000000 0040
   2303 bextr64 00000035ecaa6d9d 0000001c0a2862bc -> 0000000000000000 0040
   2304 bextr64 000000232b89c661 00000010addcd664 -> 0000000000000000 0040
   2305 bextr64 0000001bf185a509 0000000d7b2a9b66 -> 0000000006bd954d 0000
   2306 bextr64 00000011a1af9c11 00000008cae27197 -> 0000000000046571 0000
   2307 bextr64 0000000e0282bbfd 00000006fc616943 -> 0000000000000000 0040
   2308 bextr64 0000000854daa1a4 00000004686be704 -> 0000000000000000 0040
   2309 bextr64 00000006bcf63eb9 0000000380a0aeff -> 0000000000000000 0040
   2310 bextr64 0000000464f78590 000000021536a868 -> 0000000000000000 0040
   2311 bextr64 000000037dac916c 00000001af3d8fad -> 0000000000000000 0040
   2312 bextr64 0000000234911b32 00000001193de163 -> 0000000000000000 0040
   2313 bextr64 00000001c0a2862b 00000000df6b2459 -> 0000000000000000 0040
   2314 bextr64 000000010addcd65 000000008d2446ca -> 0000000000000000 0040
   2315 bextr64 00000000d7b2a9b5 000000007028a18a -> 0000000000000000 0040
   2316 bextr64 000000008cae2718 0000000042b77358 -> 0000000000000042 0000
   2317 bextr64 000000006fc61693 0000000035ecaa6c -> 0000000000000000 0040
   2318 bextr64 000000004686be6e 00000000232b89c5 -> 0000000000000000 0040
   2319 bextr64 00000000380a0af2 000000001bf185a4 -> 0000000000000000 0040
   2320 bextr64 0000000021536a83 0000000011a1af9b -> 0000000000000000 0040
   2321 bextr64 000000001af3d8f7 000000000e0282bb -> 0000000000000000 0040
   2322 bextr64 000000001193de15 000000000854daa0 -> 0000000000000042 0000
   2323 bextr64 000000000df6b244 0000000006bcf63d -> 0000000000000000 0040
   2324 bextr64 0000000008d2446b 000000000464f784 -> 0000000000000000 0040
   2325 bextr64 0000000007028a18 00000000037dac90 -> 0000000000000003 0000
   2326 bextr64 00000000042b7735 000000000234911a -> 0000000000000000 0040
   2327 bextr64 00000000035ecaa5 0000000001c0a285 -> 0000000000000000 0040
   2328 bextr64 000000000232b89b 00000000010addcc -> 0000000000000000 0040
   2329 bextr64 0000000001bf185a 0000000000d7b2a8 -> 0000000000000000 0040
   2330 bextr64 00000000011a1af9 00000000008cae26 -> 0000000000000000 0040
   2331 bextr64 0000000000e0282a 00000000006fc615 -> 0000000000000000 0040
   2332 bextr64 0000000000854da9 00000000004686bd -> 0000000000000000 0040
   2333 bextr64 00000000006bcf62 0000000000380a0a -> 0000000000000000 0040
   2334 bextr64 0000000000464f77 0000000000215369 -> 0000000000000000 0040
   2335 bextr64 000000000037dac9 00000000001af3d7 -> 0000000000000000 0040
   2336 bextr64 0000000000234910 00000000001193de -> 0000000000000011 0000
   2337 bextr64 00000000001c0a27 00000000000df6b1 -> 0000000000000000 0040
   2338 bextr64 000000000010add9 000000000008d242 -> 0000000000000000 0040
   2339 bextr64 00000000000d7b28 0000000000070287 -> 0000000000000000 0040
   2340 bextr64 000000000008cae0 0000000000042b72 -> 0000000000000000 0040
   2341 bextr64 000000000006fc5f 0000000000035ec7 -> 0000000000000000 0040
   2342 bextr64 0000000000046871 00000000000232b3 -> 0000000000000000 0040
   2343 bextr64 000000000003809d 000000000001bf16 -> 0000000000000000 0040
   2344 bextr64 000000000002152c 0000000000011a1b -> 0000000000000000 0040
   2345 bextr64 000000000001af3b 000000000000e027 -> 0000000000000000 0040
   2346 bextr64 000000000001193c 000000000000854a -> 0000000000000000 0040
   2347 bextr64 000000000000df6a 0000000000006bce -> 0000000000000000 0040
   2348 bextr64 0000000000008d23 000000000000464e -> 0000000000000000 0040
   2349 bextr64 0000000000007026 00000000000037d9 -> 0000000000000000 0040
   2350 bextr64 00000000000042b3 0000000000002347 -> 0000000000000000 0040
   2351 bextr64 00000000000035e9 0000000000001c06 -> 0000000000000000 0040
   2352 bextr64 0000000000002329 00000000000010a9 -> 0000000000000000 0040
   2353 bextr64 0000000000001bef 0000000000000d78 -> 0000000000000000 0040
   2354 bextr64 00000000000011a3 00000000000008c8 -> 0000000000000000 0040
   2355 bextr64 0000000000000e02 00000000000006fa -> 00000000000001be 0000
   2356 bextr64 0000000000000853 0000000000000468 -> 0000000000000000 0040
   2357 bextr64 00000000000006ba 0000000000000380 -> 0000000000000000 0040
   2358 bextr64 0000000000000464 0000000000000214 -> 0000000000000000 0040
   2359 bextr64 000000000000037d 00000000000001ae -> 0000000000000000 0040
   2360 bextr64 0000000000000233 0000000000000118 -> 0000000000000000 0040
   2361 bextr64 00000000000001be 00000000000000de -> 0000000000000000 0040
   2362 bextr64 0000000000000119 000000000000008c -> 0000000000000000 0040
   2363 bextr64 00000000000000de 000000000000006f -> 0000000000000000 0040
   2364 bextr64 000000000000008c 0000000000000045 -> 0000000000000000 0040
   2365 bextr64 000000000000006f 0000000000000037 -> 0000000000000000 0040
   2366 bextr64 0000000000000045 0000000000000022 -> 0000000000000000 0040
   2367 bextr64 0000000000000037 000000000000001b -> 0000000000000000 0040
   2368 bextr64 0000000000000022 0000000000000010 -> 0000000000000000 0040
   2369 bextr64 000000000000001b 000000000000000c -> 0000000000000000 0040
   2370 bextr64 0000000000000010 0000000000000007 -> 0000000000000000 0040
   2371 bextr64 000000000000000c 0000000000000003 -> 0000000000000000 0040
   2372 bextr64 0000000000000007 0000000000000001 -> 0000000000000000 0040
   2373 bextr64 0000000000000003 0000000000000000 -> 0000000000000000 0040
   2374 bextr64 0000000000000001 0000000000000000 -> 0000000000000000 0040
   2375 bextr64 0000000000000000 0000000000000000 -> 0000000000000000 0040
   2376 bextr32 fedc192837475675 57657438291cdef0 -> 0000000000000000 0040
   2377 bextr32 8efcf23ad7e922f3 452015034e67c955 -> 0000000000000000 0040
   2378 bextr32 7068b90cdf850938 37e901ef56b302d6 -> 0000000000000000 0040
   2379 bextr32 42db3e5ed85503a5 2348b20e142667cf -> 0000000000000000 0040
   2380 bextr32 35eea72efbea67d7 1c09d34c26e1c212 -> 0000000000000000 0040
   2381 bextr32 232c23d3b476ef47 10ad37922d51847f -> 0000000000000000 0040
   2382 bextr32 1bf0c1bf27fbb3ab 0d7b015093984564 -> 0000000000000000 0040
   2383 bextr32 11a1311a29a562ea 08cac1103a60926a -> 0000000000000000 0040
   2384 bextr32 0e02582b8350ffd0 06fc5681c8cd2b10 -> 0000000000000000 0040
   2385 bextr32 0854b4408f5b9e17 04687224d51a87fa -> 00000000000001aa 0000
   2386 bextr32 06bcf33434328063 03809eacb986283a -> 0000000000000000 0040
   2387 bextr32 0464f596e5f3ab8a 02152e09a7eb825e -> 0000000000000000 0040
   2388 bextr32 037dac8063df281c 01af3c969c7725a6 -> 0000000000000009 0000
   2389 bextr32 0234910d6d0cfe89 01193d5aad28c013 -> 0000000000000000 0040
   2390 bextr32 01c0a27d7eaa2575 00df6b2373776c4a -> 0000000000000000 0040
   2391 bextr32 010adda943af43d8 008d24457d4341d1 -> 0000000000000000 0040
   2392 bextr32 00d7b2ae8c91c8ce 007028a17fc8b89e -> 0000000000000000 0040
   2393 bextr32 008cae284a0c2065 0042b77370e42ef0 -> 0000000000000000 0040
   2394 bextr32 006fc6190eb4fc04 0035ecaa6c888c28 -> 0000000006c888c2 0000
   2395 bextr32 004686bd6e829ce5 00232b89c5c8c9b6 -> 0000000000000000 0040
   2396 bextr32 00380a0b248034f1 001bf185a53e82dc -> 0000000000000000 0040
   2397 bextr32 0021536a650d4fc6 0011a1af9c2edaa2 -> 0000000000000000 0040
   2398 bextr32 001af3d8d0c8c068 000e0282bc13b27b -> 0000000000000000 0040
   2399 bextr32 001193de10460316 000854daa0b4cc02 -> 0000000000000002 0000
   2400 bextr32 000df6b241dd45c1 0006bcf63e2fbf3d -> 0000000000000000 0040
   2401 bextr32 0008d24469947f91 000464f7852a566a -> 0000000000000000 0040
   2402 bextr32 0007028a17f7fc21 00037dac915ab5c5 -> 0000000000000000 0040
   2403 bextr32 00042b77370e9574 000234911b32831c -> 0000000000000000 0040
   2404 bextr32 00035ecaa6c8cb9c 0001c0a2862c25c1 -> 0000000000000000 0040
   2405 bextr32 000232b89c5ca207 00010addcd657882 -> 00000000019acaf1 0000
   2406 bextr32 0001bf185a53fb83 0000d7b2a9b6ab1d -> 0000000000000000 0040
   2407 bextr32 00011a1af9c2f08e 00008cae2719cda3 -> 0000000000000000 0040
   2408 bextr32 0000e0282bc137ba 00006fc61694404d -> 0000000000000000 0040
   2409 bextr32 0000854daa0b4caf 00004686be70612c -> 0000000000000000 0040
   2410 bextr32 00006bcf63e2fc01 0000380a0af0025a -> 000000000578012d 0000
   2411 bextr32 0000464f7852a469 000021536a829879 -> 0000000000000000 0040
   2412 bextr32 000037dac915aa8f 00001af3d8f8abea -> 0000000000000000 0040
   2413 bextr32 0000234911b3280d 00001193de14a839 -> 000000000006f0a5 0000
   2414 bextr32 00001c0a2862c244 00000df6b24569e5 -> 0000000000000000 0040
   2415 bextr32 000010addcd6577a 000008d2446cc8f9 -> 0000000000000000 0040
   2416 bextr32 00000d7b2a9b6ac9 000007028a18af7d -> 0000000000000000 0040
   2417 bextr32 000008cae2719cd4 0000042b77359960 -> 0000000000000000 0040
   2418 bextr32 000006fc61694403 0000035ecaa6d9df -> 000000001954db3b 0000
   2419 bextr32 000004686be70610 00000232b89c662a -> 000000000000001c 0000
   2420 bextr32 00000380a0af0023 000001bf185a50b0 -> 0000000000000000 0040
   2421 bextr32 0000021536a82984 0000011a1af9c13b -> 0000000000000000 0040
   2422 bextr32 000001af3d8f8abd 000000e0282bc000 -> 0000000000000000 0040
   2423 bextr32 000001193de14a82 000000854daa0a5a -> 0000000000000000 0040
   2424 bextr32 000000df6b24569d 0000006bcf63e2b5 -> 0000000000000000 0040
   2425 bextr32 0000008d2446cc8e 000000464f7852a0 -> 0000000000000000 0040
   2426 bextr32 0000007028a18af6 00000037dac915a7 -> 0000000000000000 0040
   2427 bextr32 00000042b7735995 000000234911b322 -> 0000000000000000 0040
   2428 bextr32 00000035ecaa6d9d 0000001c0a2862bc -> 0000000000000000 0040
   2429 bextr32 000000232b89c661 00000010addcd664 -> 0000000000000000 0040
   2430 bextr32 0000001bf185a509 0000000d7b2a9b66 -> 00000000003d954d 0000
   2431 bextr32 00000011a1af9c11 00000008cae27197 -> 0000000000006571 0000
   2432 bextr32 0000000e0282bbfd 00000006fc616943 -> 0000000000000000 0040
   2433 bextr32 0000000854daa1a4 00000004686be704 -> 0000000000000000 0040
   2434 bextr32 00000006bcf63eb9 0000000380a0aeff -> 0000000000000000 0040
   2435 bextr32 0000000464f78590 000000021536a868 -> 0000000000000000 0040
   2436 bextr32 000000037dac916c 00000001af3d8fad -> 0000000000000000 0040
   2437 bextr32 0000000234911b32 00000001193de163 -> 0000000000000000 0040
   2438 bextr32 00000001c0a2862b 00000000df6b2459 -> 0000000000000000 0040
   2439 bextr32 000000010addcd65 000000008d2446ca -> 0000000000000000 0040
   2440 bextr32 00000000d7b2a9b5 000000007028a18a -> 0000000000000000 0040
   2441 bextr32 000000008cae2718 0000000042b77358 -> 0000000000000042 0000
   2442 bextr32 000000006fc61693 0000000035ecaa6c -> 0000000000000000 0040
   2443 bextr32 000000004686be6e 00000000232b89c5 -> 0000000000000000 0040
   2444 bextr32 00000000380a0af2 000000001bf185a4 -> 0000000000000000 0040
   2445 bextr32 0000000021536a83 0000000011a1af9b -> 0000000000000000 0040
   2446 bextr32 000000001af3d8f7 000000000e0282bb -> 0000000000000000 0040
   2447 bextr32 000000001193de15 000000000854daa0 -> 0000000000000042 0000
   2448 bextr32 000000000df6b244 0000000006bcf63d -> 0000000000000000 0040
   2449 bextr32 0000000008d2446b 000000000464f784 -> 0000000000000000 0040
   2450 bextr32 0000000007028a18 00000000037dac90 -> 0000000000000003 0000
   2451 bextr32 00000000042b7735 000000000234911a -> 0000000000000000 0040
   2452 bextr32 00000000035ecaa5 0000000001c0a285 -> 0000000000000000 0040
   2453 bextr32 000000000232b89b 00000000010addcc -> 0000000000000000 0040
   2454 bextr32 0000000001bf185a 0000000000d7b2a8 -> 0000000000000000 0040
   2455 bextr32 00000000011a1af9 00000000008cae26 -> 0000000000000000 0040
   2456 bextr32 0000000000e0282a 00000000006fc615 -> 0000000000000000 0040
   2457 bextr32 0000000000854da9 00000000004686bd -> 0000000000000000 0040
   2458 bextr32 00000000006bcf62 0000000000380a0a -> 0000000000000000 0040
   2459 bextr32 0000000000464f77 0000000000215369 -> 0000000000000000 0040
   2460 bextr32 000000000037dac9 00000000001af3d7 -> 0000000000000000 0040
   2461 bextr32 0000000000234910 00000000001193de -> 0000000000000011 0000
   2462 bextr32 00000000001c0a27 00000000000df6b1 -> 0000000000000000 0040
   2463 bextr32 000000000010add9 000000000008d242 -> 0000000000000000 0040
   2464 bextr32 00000000000d7b28 0000000000070287 -> 0000000000000000 0040
   2465 bextr32 000000000008cae0 0000000000042b72 -> 0000000000000000 0040
   2466 bextr32 000000000006fc5f 0000000000035ec7 -> 0000000000000000 0040
   2467 bextr32 0000000000046871 00000000000232b3 -> 0000000000000000 0040
   2468 bextr32 000000000003809d 000000000001bf16 -> 0000000000000000 0040
   2469 bextr32 000000000002152c 0000000000011a1b -> 0000000000000000 0040
   2470 bextr32 000000000001af3b 000000000000e027 -> 0000000000000000 0040
   2471 bextr32 000000000001193c 000000000000854a -> 0000000000000000 0040
   2472 bextr32 000000000000df6a 0000000000006bce -> 0000000000000000 0040
   2473 bextr32 0000000000008d23 000000000000464e -> 0000000000000000 0040
   2474 bextr32 0000000000007026 00000000000037d9 -> 0000000000000000 0040
   2475 bextr32 00000000000042b3 0000000000002347 -> 0000000000000000 0040
   2476 bextr32 00000000000035e9 0000000000001c06 -> 0000000000000000 0040
   2477 bextr32 0000000000002329 00000000000010a9 -> 0000000000000000 0040
   2478 bextr32 0000000000001bef 0000000000000d78 -> 0000000000000000 0040
   2479 bextr32 00000000000011a3 00000000000008c8 -> 0000000000000000 0040
   2480 bextr32 0000000000000e02 00000000000006fa -> 00000000000001be 0000
   2481 bextr32 0000000000000853 0000000000000468 -> 0000000000000000 0040
   2482 bextr32 00000000000006ba 0000000000000380 -> 0000000000000000 0040
   2483 bextr32 0000000000000464 0000000000000214 -> 0000000000000000 0040
   2484 bextr32 000000000000037d 00000000000001ae -> 0000000000000000 0040
   2485 bextr32 0000000000000233 0000000000000118 -> 0000000000000000 0040
   2486 bextr32 00000000000001be 00000000000000de -> 0000000000000000 0040
   2487 bextr32 0000000000000119 000000000000008c -> 0000000000000000 0040
   2488 bextr32 00000000000000de 000000000000006f -> 0000000000000000 0040
   2489 bextr32 000000000000008c 0000000000000045 -> 0000000000000000 0040
   2490 bextr32 000000000000006f 0000000000000037 -> 0000000000000000 0040
   2491 bextr32 0000000000000045 0000000000000022 -> 0000000000000000 0040
   2492 bextr32 0000000000000037 000000000000001b -> 0000000000000000 0040
   2493 bextr32 0000000000000022 0000000000000010 -> 0000000000000000 0040
   2494 bextr32 000000000000001b 000000000000000c -> 0000000000000000 0040
   2495 bextr32 0000000000000010 0000000000000007 -> 0000000000000000 0040
   2496 bextr32 000000000000000c 0000000000000003 -> 0000000000000000 0040
   2497 bextr32 0000000000000007 0000000000000001 -> 0000000000000000 0040
   2498 bextr32 0000000000000003 0000000000000000 -> 0000000000000000 0040
   2499 bextr32 0000000000000001 0000000000000000 -> 0000000000000000 0040
   2500 bextr32 0000000000000000 0000000000000000 -> 0000000000000000 0040
   2501 bzhi64 fedc192837475675 57657438291cdef0 -> 57657438291cdef0 0001
   2502 bzhi64 8efcf23ad7e922f3 452015034e67c955 -> 452015034e67c955 0001
   2503 bzhi64 7068b90cdf850938 37e901ef56b302d6 -> 00e901ef56b302d6 0000
   2504 bzhi64 42db3e5ed85503a5 2348b20e142667cf -> 2348b20e142667cf 0001
   2505 bzhi64 35eea72efbea67d7 1c09d34c26e1c212 -> 1c09d34c26e1c212 0001
   2506 bzhi64 232c23d3b476ef47 10ad37922d51847f -> 10ad37922d51847f 0001
   2507 bzhi64 1bf0c1bf27fbb3ab 0d7b015093984564 -> 0d7b015093984564 0001
   2508 bzhi64 11a1311a29a562ea 08cac1103a60926a -> 08cac1103a60926a 0001
   2509 bzhi64 0e02582b8350ffd0 06fc5681c8cd2b10 -> 06fc5681c8cd2b10 0001
   2510 bzhi64 0854b4408f5b9e17 04687224d51a87fa -> 00000000001a87fa 0000
   2511 bzhi64 06bcf33434328063 03809eacb986283a -> 03809eacb986283a 0001
   2512 bzhi64 0464f596e5f3ab8a 02152e09a7eb825e -> 02152e09a7eb825e 0001
   2513 bzhi64 037dac8063df281c 01af3c969c7725a6 -> 000000000c7725a6 0000
   2514 bzhi64 0234910d6d0cfe89 01193d5aad28c013 -> 01193d5aad28c013 0001
   2515 bzhi64 01c0a27d7eaa2575 00df6b2373776c4a -> 00df6b2373776c4a 0001
   2516 bzhi64 010adda943af43d8 008d24457d4341d1 -> 008d24457d4341d1 0001
   2517 bzhi64 00d7b2ae8c91c8ce 007028a17fc8b89e -> 007028a17fc8b89e 0001
   2518 bzhi64 008cae284a0c2065 0042b77370e42ef0 -> 0042b77370e42ef0 0001
   2519 bzhi64 006fc6190eb4fc04 0035ecaa6c888c28 -> 0000000000000008 0000
   2520 bzhi64 004686bd6e829ce5 00232b89c5c8c9b6 -> 00232b89c5c8c9b6 0001
   2521 bzhi64 00380a0b248034f1 001bf185a53e82dc -> 001bf185a53e82dc 0001
   2522 bzhi64 0021536a650d4fc6 0011a1af9c2edaa2 -> 0011a1af9c2edaa2 0001
   2523 bzhi64 001af3d8d0c8c068 000e0282bc13b27b -> 000e0282bc13b27b 0001
   2524 bzhi64 001193de10460316 000854daa0b4cc02 -> 000000000034cc02 0000
   2525 bzhi64 000df6b241dd45c1 0006bcf63e2fbf3d -> 0006bcf63e2fbf3d 0001
   2526 bzhi64 0008d24469947f91 000464f7852a566a -> 000464f7852a566a 0001
   2527 bzhi64 0007028a17f7fc21 00037dac915ab5c5 -> 00000000915ab5c5 0000
   2528 bzhi64 00042b77370e9574 000234911b32831c -> 000234911b32831c 0001
   2529 bzhi64 00035ecaa6c8cb9c 0001c0a2862c25c1 -> 0001c0a2862c25c1 0001
   2530 bzhi64 000232b89c5ca207 00010addcd657882 -> 0000000000000002 0000
   2531 bzhi64 0001bf185a53fb83 0000d7b2a9b6ab1d -> 0000d7b2a9b6ab1d 0001
   2532 bzhi64 00011a1af9c2f08e 00008cae2719cda3 -> 00008cae2719cda3 0001
   2533 bzhi64 0000e0282bc137ba 00006fc61694404d -> 00006fc61694404d 0001
   2534 bzhi64 0000854daa0b4caf 00004686be70612c -> 00004686be70612c 0001
   2535 bzhi64 00006bcf63e2fc01 0000380a0af0025a -> 0000000000000000 0040
   2536 bzhi64 0000464f7852a469 000021536a829879 -> 000021536a829879 0001
   2537 bzhi64 000037dac915aa8f 00001af3d8f8abea -> 00001af3d8f8abea 0001
   2538 bzhi64 0000234911b3280d 00001193de14a839 -> 0000000000000839 0000
   2539 bzhi64 00001c0a2862c244 00000df6b24569e5 -> 00000df6b24569e5 0001
   2540 bzhi64 000010addcd6577a 000008d2446cc8f9 -> 000008d2446cc8f9 0001
   2541 bzhi64 00000d7b2a9b6ac9 000007028a18af7d -> 000007028a18af7d 0001
   2542 bzhi64 000008cae2719cd4 0000042b77359960 -> 0000042b77359960 0001
   2543 bzhi64 000006fc61694403 0000035ecaa6d9df -> 0000000000000007 0000
   2544 bzhi64 000004686be70610 00000232b89c662a -> 000000000000662a 0000
   2545 bzhi64 00000380a0af0023 000001bf185a50b0 -> 00000007185a50b0 0000
   2546 bzhi64 0000021536a82984 0000011a1af9c13b -> 0000011a1af9c13b 0001
   2547 bzhi64 000001af3d8f8abd 000000e0282bc000 -> 000000e0282bc000 0001
   2548 bzhi64 000001193de14a82 000000854daa0a5a -> 000000854daa0a5a 0001
   2549 bzhi64 000000df6b24569d 0000006bcf63e2b5 -> 0000006bcf63e2b5 0001
   2550 bzhi64 0000008d2446cc8e 000000464f7852a0 -> 000000464f7852a0 0001
   2551 bzhi64 0000007028a18af6 00000037dac915a7 -> 00000037dac915a7 0001
   2552 bzhi64 00000042b7735995 000000234911b322 -> 000000234911b322 0001
   2553 bzhi64 00000035ecaa6d9d 0000001c0a2862bc -> 0000001c0a2862bc 0001
   2554 bzhi64 000000232b89c661 00000010addcd664 -> 00000010addcd664 0001
   2555 bzhi64 0000001bf185a509 0000000d7b2a9b66 -> 0000000000000166 0000
   2556 bzhi64 00000011a1af9c11 00000008cae27197 -> 0000000000007197 0000
   2557 bzhi64 0000000e0282bbfd 00000006fc616943 -> 00000006fc616943 0001
   2558 bzhi64 0000000854daa1a4 00000004686be704 -> 00000004686be704 0001
   2559 bzhi64 00000006bcf63eb9 0000000380a0aeff -> 0000000380a0aeff 0001
   2560 bzhi64 0000000464f78590 000000021536a868 -> 000000021536a868 0001
   2561 bzhi64 000000037dac916c 00000001af3d8fad -> 00000001af3d8fad 0001
   2562 bzhi64 0000000234911b32 00000001193de163 -> 00000001193de163 0000
   2563 bzhi64 00000001c0a2862b 00000000df6b2459 -> 00000000df6b2459 0000
   2564 bzhi64 000000010addcd65 000000008d2446ca -> 000000008d2446ca 0001
   2565 bzhi64 00000000d7b2a9b5 000000007028a18a -> 000000007028a18a 0001
   2566 bzhi64 000000008cae2718 0000000042b77358 -> 0000000000b77358 0000
   2567 bzhi64 000000006fc61693 0000000035ecaa6c -> 0000000035ecaa6c 0001
   2568 bzhi64 000000004686be6e 00000000232b89c5 -> 00000000232b89c5 0001
   2569 bzhi64 00000000380a0af2 000000001bf185a4 -> 000000001bf185a4 0001
   2570 bzhi64 0000000021536a83 0000000011a1af9b -> 0000000011a1af9b 0001
   2571 bzhi64 000000001af3d8f7 000000000e0282bb -> 000000000e0282bb 0001
   2572 bzhi64 000000001193de15 000000000854daa0 -> 000000000014daa0 0000
   2573 bzhi64 000000000df6b244 0000000006bcf63d -> 0000000006bcf63d 0001
   2574 bzhi64 0000000008d2446b 000000000464f784 -> 000000000464f784 0001
   2575 bzhi64 0000000007028a18 00000000037dac90 -> 00000000007dac90 0000
   2576 bzhi64 00000000042b7735 000000000234911a -> 000000000234911a 0000
   2577 bzhi64 00000000035ecaa5 0000000001c0a285 -> 0000000001c0a285 0001
   2578 bzhi64 000000000232b89b 00000000010addcc -> 00000000010addcc 0001
   2579 bzhi64 0000000001bf185a 0000000000d7b2a8 -> 0000000000d7b2a8 0001
   2580 bzhi64 00000000011a1af9 00000000008cae26 -> 00000000008cae26 0001
   2581 bzhi64 0000000000e0282a 00000000006fc615 -> 00000000006fc615 0000
   2582 bzhi64 0000000000854da9 00000000004686bd -> 00000000004686bd 0001
   2583 bzhi64 00000000006bcf62 0000000000380a0a -> 0000000000380a0a 0001
   2584 bzhi64 0000000000464f77 0000000000215369 -> 0000000000215369 0001
   2585 bzhi64 000000000037dac9 00000000001af3d7 -> 00000000001af3d7 0001
   2586 bzhi64 0000000000234910 00000000001193de -> 00000000000093de 0000
   2587 bzhi64 00000000001c0a27 00000000000df6b1 -> 00000000000df6b1 0000
   2588 bzhi64 000000000010add9 000000000008d242 -> 000000000008d242 0001
   2589 bzhi64 00000000000d7b28 0000000000070287 -> 0000000000070287 0000
   2590 bzhi64 000000000008cae0 0000000000042b72 -> 0000000000042b72 0001
   2591 bzhi64 000000000006fc5f 0000000000035ec7 -> 0000000000035ec7 0001
   2592 bzhi64 0000000000046871 00000000000232b3 -> 00000000000232b3 0001
   2593 bzhi64 000000000003809d 000000000001bf16 -> 000000000001bf16 0001
   2594 bzhi64 000000000002152c 0000000000011a1b -> 0000000000011a1b 0000
   2595 bzhi64 000000000001af3b 000000000000e027 -> 000000000000e027 0000
   2596 bzhi64 000000000001193c 000000000000854a -> 000000000000854a 0000
   2597 bzhi64 000000000000df6a 0000000000006bce -> 0000000000006bce 0001
   2598 bzhi64 0000000000008d23 000000000000464e -> 000000000000464e 0000
   2599 bzhi64 0000000000007026 00000000000037d9 -> 00000000000037d9 0000
   2600 bzhi64 00000000000042b3 0000000000002347 -> 0000000000002347 0001
   2601 bzhi64 00000000000035e9 0000000000001c06 -> 0000000000001c06 0001
   2602 bzhi64 0000000000002329 00000000000010a9 -> 00000000000010a9 0000
   2603 bzhi64 0000000000001bef 0000000000000d78 -> 0000000000000d78 0001
   2604 bzhi64 00000000000011a3 00000000000008c8 -> 00000000000008c8 0001
   2605 bzhi64 0000000000000e02 00000000000006fa -> 0000000000000002 0000
   2606 bzhi64 0000000000000853 0000000000000468 -> 0000000000000468 0001
   2607 bzhi64 00000000000006ba 0000000000000380 -> 0000000000000380 0001
   2608 bzhi64 0000000000000464 0000000000000214 -> 0000000000000214 0001
   2609 bzhi64 000000000000037d 00000000000001ae -> 00000000000001ae 0001
   2610 bzhi64 0000000000000233 0000000000000118 -> 0000000000000118 0000
   2611 bzhi64 00000000000001be 00000000000000de -> 00000000000000de 0001
   2612 bzhi64 0000000000000119 000000000000008c -> 000000000000008c 0000
   2613 bzhi64 00000000000000de 000000000000006f -> 000000000000006f 0001
   2614 bzhi64 000000000000008c 0000000000000045 -> 0000000000000045 0001
   2615 bzhi64 000000000000006f 0000000000000037 -> 0000000000000037 0001
   2616 bzhi64 0000000000000045 0000000000000022 -> 0000000000000022 0001
   2617 bzhi64 0000000000000037 000000000000001b -> 000000000000001b 0000
   2618 bzhi64 0000000000000022 0000000000000010 -> 0000000000000010 0000
   2619 bzhi64 000000000000001b 000000000000000c -> 000000000000000c 0000
   2620 bzhi64 0000000000000010 0000000000000007 -> 0000000000000007 0000
   2621 bzhi64 000000000000000c 0000000000000003 -> 0000000000000003 0000
   2622 bzhi64 0000000000000007 0000000000000001 -> 0000000000000001 0000
   2623 bzhi64 0000000000000003 0000000000000000 -> 0000000000000000 0040
   2624 bzhi64 0000000000000001 0000000000000000 -> 0000000000000000 0040
   2625 bzhi64 0000000000000000 0000000000000000 -> 0000000000000000 0040
   2626 bzhi32 fedc192837475675 57657438291cdef0 -> 00000000291cdef0 0001
   2627 bzhi32 8efcf23ad7e922f3 452015034e67c955 -> 000000004e67c955 0001
   2628 bzhi32 7068b90cdf850938 37e901ef56b302d6 -> 0000000056b302d6 0001
   2629 bzhi32 42db3e5ed85503a5 2348b20e142667cf -> 00000000142667cf 0001
   2630 bzhi32 35eea72efbea67d7 1c09d34c26e1c212 -> 0000000026e1c212 0001
   2631 bzhi32 232c23d3b476ef47 10ad37922d51847f -> 000000002d51847f 0001
   2632 bzhi32 1bf0c1bf27fbb3ab 0d7b015093984564 -> 0000000093984564 0081
   2633 bzhi32 11a1311a29a562ea 08cac1103a60926a -> 000000003a60926a 0001
   2634 bzhi32 0e02582b8350ffd0 06fc5681c8cd2b10 -> 00000000c8cd2b10 0081
   2635 bzhi32 0854b4408f5b9e17 04687224d51a87fa -> 00000000001a87fa 0000
   2636 bzhi32 06bcf33434328063 03809eacb986283a -> 00000000b986283a 0081
   2637 bzhi32 0464f596e5f3ab8a 02152e09a7eb825e -> 00000000a7eb825e 0081
   2638 bzhi32 037dac8063df281c 01af3c969c7725a6 -> 000000000c7725a6 0000
   2639 bzhi32 0234910d6d0cfe89 01193d5aad28c013 -> 00000000ad28c013 0081
   2640 bzhi32 01c0a27d7eaa2575 00df6b2373776c4a -> 0000000073776c4a 0001
   2641 bzhi32 010adda943af43d8 008d24457d4341d1 -> 000000007d4341d1 0001
   2642 bzhi32 00d7b2ae8c91c8ce 007028a17fc8b89e -> 000000007fc8b89e 0001
   2643 bzhi32 008cae284a0c2065 0042b77370e42ef0 -> 0000000070e42ef0 0001
   2644 bzhi32 006fc6190eb4fc04 0035ecaa6c888c28 -> 0000000000000008 0000
   2645 bzhi32 004686bd6e829ce5 00232b89c5c8c9b6 -> 00000000c5c8c9b6 0081
   2646 bzhi32 00380a0b248034f1 001bf185a53e82dc -> 00000000a53e82dc 0081
   2647 bzhi32 0021536a650d4fc6 0011a1af9c2edaa2 -> 000000009c2edaa2 0081
   2648 bzhi32 001af3d8d0c8c068 000e0282bc13b27b -> 00000000bc13b27b 0081
   2649 bzhi32 001193de10460316 000854daa0b4cc02 -> 000000000034cc02 0000
   2650 bzhi32 000df6b241dd45c1 0006bcf63e2fbf3d -> 000000003e2fbf3d 0001
   2651 bzhi32 0008d24469947f91 000464f7852a566a -> 00000000852a566a 0081
   2652 bzhi32 0007028a17f7fc21 00037dac915ab5c5 -> 00000000915ab5c5 0081
   2653 bzhi32 00042b77370e9574 000234911b32831c -> 000000001b32831c 0001
   2654 bzhi32 00035ecaa6c8cb9c 0001c0a2862c25c1 -> 00000000862c25c1 0081
   2655 bzhi32 000232b89c5ca207 00010addcd657882 -> 0000000000000002 0000
   2656 bzhi32 0001bf185a53fb83 0000d7b2a9b6ab1d -> 00000000a9b6ab1d 0081
   2657 bzhi32 00011a1af9c2f08e 00008cae2719cda3 -> 000000002719cda3 0001
   2658 bzhi32 0000e0282bc137ba 00006fc61694404d -> 000000001694404d 0001
   2659 bzhi32 0000854daa0b4caf 00004686be70612c -> 00000000be70612c 0081
   2660 bzhi32 00006bcf63e2fc01 0000380a0af0025a -> 0000000000000000 0040
   2661 bzhi32 0000464f7852a469 000021536a829879 -> 000000006a829879 0001
   2662 bzhi32 000037dac915aa8f 00001af3d8f8abea -> 00000000d8f8abea 0081
   2663 bzhi32 0000234911b3280d 00001193de14a839 -> 0000000000000839 0000
   2664 bzhi32 00001c0a2862c244 00000df6b24569e5 -> 00000000b24569e5 0081
   2665 bzhi32 000010addcd6577a 000008d2446cc8f9 -> 00000000446cc8f9 0001
   2666 bzhi32 00000d7b2a9b6ac9 000007028a18af7d -> 000000008a18af7d 0081
   2667 bzhi32 000008cae2719cd4 0000042b77359960 -> 0000000077359960 0001
   2668 bzhi32 000006fc61694403 0000035ecaa6d9df -> 0000000000000007 0000
   2669 bzhi32 000004686be70610 00000232b89c662a -> 000000000000662a 0000
   2670 bzhi32 00000380a0af0023 000001bf185a50b0 -> 00000000185a50b0 0001
   2671 bzhi32 0000021536a82984 0000011a1af9c13b -> 000000001af9c13b 0001
   2672 bzhi32 000001af3d8f8abd 000000e0282bc000 -> 00000000282bc000 0001
   2673 bzhi32 000001193de14a82 000000854daa0a5a -> 000000004daa0a5a 0001
   2674 bzhi32 000000df6b24569d 0000006bcf63e2b5 -> 00000000cf63e2b5 0081
   2675 bzhi32 0000008d2446cc8e 000000464f7852a0 -> 000000004f7852a0 0001
   2676 bzhi32 0000007028a18af6 00000037dac915a7 -> 00000000dac915a7 0081
   2677 bzhi32 00000042b7735995 000000234911b322 -> 000000004911b322 0001
   2678 bzhi32 00000035ecaa6d9d 0000001c0a2862bc -> 000000000a2862bc 0001
   2679 bzhi32 000000232b89c661 00000010addcd664 -> 00000000addcd664 0081
   2680 bzhi32 0000001bf185a509 0000000d7b2a9b66 -> 0000000000000166 0000
   2681 bzhi32 00000011a1af9c11 00000008cae27197 -> 0000000000007197 0000
   2682 bzhi32 0000000e0282bbfd 00000006fc616943 -> 00000000fc616943 0081
   2683 bzhi32 0000000854daa1a4 00000004686be704 -> 00000000686be704 0001
   2684 bzhi32 00000006bcf63eb9 0000000380a0aeff -> 0000000080a0aeff 0081
   2685 bzhi32 0000000464f78590 000000021536a868 -> 000000001536a868 0001
   2686 bzhi32 000000037dac916c 00000001af3d8fad -> 00000000af3d8fad 0081
   2687 bzhi32 0000000234911b32 00000001193de163 -> 00000000193de163 0001
   2688 bzhi32 00000001c0a2862b 00000000df6b2459 -> 00000000df6b2459 0081
   2689 bzhi32 000000010addcd65 000000008d2446ca -> 000000008d2446ca 0081
   2690 bzhi32 00000000d7b2a9b5 000000007028a18a -> 000000007028a18a 0001
   2691 bzhi32 000000008cae2718 0000000042b77358 -> 0000000000b77358 0000
   2692 bzhi32 000000006fc61693 0000000035ecaa6c -> 0000000035ecaa6c 0001
   2693 bzhi32 000000004686be6e 00000000232b89c5 -> 00000000232b89c5 0001
   2694 bzhi32 00000000380a0af2 000000001bf185a4 -> 000000001bf185a4 0001
   2695 bzhi32 0000000021536a83 0000000011a1af9b -> 0000000011a1af9b 0001
   2696 bzhi32 000000001af3d8f7 000000000e0282bb -> 000000000e0282bb 0001
   2697 bzhi32 000000001193de15 000000000854daa0 -> 000000000014daa0 0000
   2698 bzhi32 000000000df6b244 0000000006bcf63d -> 0000000006bcf63d 0001
   2699 bzhi32 0000000008d2446b 000000000464f784 -> 000000000464f784 0001
   2700 bzhi32 0000000007028a18 00000000037dac90 -> 00000000007dac90 0000
   2701 bzhi32 00000000042b7735 000000000234911a -> 000000000234911a 0001
   2702 bzhi32 00000000035ecaa5 0000000001c0a285 -> 0000000001c0a285 0001
   2703 bzhi32 000000000232b89b 00000000010addcc -> 00000000010addcc 0001
   2704 bzhi32 0000000001bf185a 0000000000d7b2a8 -> 0000000000d7b2a8 0001
   2705 bzhi32 00000000011a1af9 00000000008cae26 -> 00000000008cae26 0001
   2706 bzhi32 0000000000e0282a 00000000006fc615 -> 00000000006fc615 0001
   2707 bzhi32 0000000000854da9 00000000004686bd -> 00000000004686bd 0001
   2708 bzhi32 00000000006bcf62 0000000000380a0a -> 0000000000380a0a 0001
   2709 bzhi32 0000000000464f77 0000000000215369 -> 0000000000215369 0001
   2710 bzhi32 000000000037dac9 00000000001af3d7 -> 00000000001af3d7 0001
   2711 bzhi32 0000000000234910 00000000001193de -> 00000000000093de 0000
   2712 bzhi32 00000000001c0a27 00000000000df6b1 -> 00000000000df6b1 0001
   2713 bzhi32 000000000010add9 000000000008d242 -> 000000000008d242 0001
   2714 bzhi32 00000000000d7b28 0000000000070287 -> 0000000000070287 0001
   2715 bzhi32 000000000008cae0 0000000000042b72 -> 0000000000042b72 0001
   2716 bzhi32 000000000006fc5f 0000000000035ec7 -> 0000000000035ec7 0001
   2717 bzhi32 0000000000046871 00000000000232b3 -> 00000000000232b3 0001
   2718 bzhi32 000000000003809d 000000000001bf16 -> 000000000001bf16 0001
   2719 bzhi32 000000000002152c 0000000000011a1b -> 0000000000011a1b 0001
   2720 bzhi32 000000000001af3b 000000000000e027 -> 000000000000e027 0001
   2721 bzhi32 000000000001193c 000000000000854a -> 000000000000854a 0001
   2722 bzhi32 000000000000df6a 0000000000006bce -> 0000000000006bce 0001
   2723 bzhi32 0000000000008d23 000000000000464e -> 000000000000464e 0001
   2724 bzhi32 0000000000007026 00000000000037d9 -> 00000000000037d9 0001
   2725 bzhi32 00000000000042b3 0000000000002347 -> 0000000000002347 0001
   2726 bzhi32 00000000000035e9 0000000000001c06 -> 0000000000001c06 0001
   2727 bzhi32 0000000000002329 00000000000010a9 -> 00000000000010a9 0001
   2728 bzhi32 0000000000001bef 0000000000000d78 -> 0000000000000d78 0001
   2729 bzhi32 00000000000011a3 00000000000008c8 -> 00000000000008c8 0001
   2730 bzhi32 0000000000000e02 00000000000006fa -> 0000000000000002 0000
   2731 bzhi32 0000000000000853 0000000000000468 -> 0000000000000468 0001
   2732 bzhi32 00000000000006ba 0000000000000380 -> 0000000000000380 0001
   2733 bzhi32 0000000000000464 0000000000000214 -> 0000000000000214 0001
   2734 bzhi32 000000000000037d 00000000000001ae -> 00000000000001ae 0001
   2735 bzhi32 0000000000000233 0000000000000118 -> 0000000000000118 0001
   2736 bzhi32 00000000000001be 00000000000000de -> 00000000000000de 0001
   2737 bzhi32 0000000000000119 000000000000008c -> 000000000000008c 0000
   2738 bzhi32 00000000000000de 000000000000006f -> 000000000000006f 0001
   2739 bzhi32 000000000000008c 0000000000000045 -> 0000000000000045 0001
   2740 bzhi32 000000000000006f 0000000000000037 -> 0000000000000037 0001
   2741 bzhi32 0000000000000045 0000000000000022 -> 0000000000000022 0001
   2742 bzhi32 0000000000000037 000000000000001b -> 000000000000001b 0001
   2743 bzhi32 0000000000000022 0000000000000010 -> 0000000000000010 0001
   2744 bzhi32 000000000000001b 000000000000000c -> 000000000000000c 0000
   2745 bzhi32 0000000000000010 0000000000000007 -> 0000000000000007 0000
   2746 bzhi32 000000000000000c 0000000000000003 -> 0000000000000003 0000
   2747 bzhi32 0000000000000007 0000000000000001 -> 0000000000000001 0000
   2748 bzhi32 0000000000000003 0000000000000000 -> 0000000000000000 0040
   2749 bzhi32 0000000000000001 0000000000000000 -> 0000000000000000 0040
   2750 bzhi32 0000000000000000 0000000000000000 -> 0000000000000000 0040
   2751 pdep64 fedc192837475675 57657438291cdef0 -> 0488012806471640
   2752 pdep64 8efcf23ad7e922f3 452015034e67c955 -> 00d0e030d6410251
   2753 pdep64 7068b90cdf850938 37e901ef56b302d6 -> 3020a10802840830
   2754 pdep64 42db3e5ed85503a5 2348b20e142667cf -> 00480852885500a5
   2755 pdep64 35eea72efbea67d7 1c09d34c26e1c212 -> 3128042ac1c02042
   2756 pdep64 232c23d3b476ef47 10ad37922d51847f -> 2008229104408747
   2757 pdep64 1bf0c1bf27fbb3ab 0d7b015093984564 -> 1210011c24212308
   2758 pdep64 11a1311a29a562ea 08cac1103a60926a -> 1021000201042248
   2759 pdep64 0e02582b8350ffd0 06fc5681c8cd2b10 -> 0002402901106200
   2760 pdep64 0854b4408f5b9e17 04687224d51a87fa -> 004404400a039e12
   2761 pdep64 06bcf33434328063 03809eacb986283a -> 00a0602400028042
   2762 pdep64 0464f596e5f3ab8a 02152e09a7eb825e -> 0444359264022388
   2763 pdep64 037dac8063df281c 01af3c969c7725a6 -> 03458c80024d0018
   2764 pdep64 0234910d6d0cfe89 01193d5aad28c013 -> 0210100828000409
   2765 pdep64 01c0a27d7eaa2575 00df6b2373776c4a -> 018022396ca00424
   2766 pdep64 010adda943af43d8 008d24457d4341d1 -> 010a480902034108
   2767 pdep64 00d7b2ae8c91c8ce 007028a17fc8b89e -> 00d782048c0080cc
   2768 pdep64 008cae284a0c2065 0042b77370e42ef0 -> 00080428420c2000
   2769 pdep64 006fc6190eb4fc04 0035ecaa6c888c28 -> 0044400802805000
   2770 pdep64 004686bd6e829ce5 00232b89c5c8c9b6 -> 00048610620094a4
   2771 pdep64 00380a0b248034f1 001bf185a53e82dc -> 00300800040030e0
   2772 pdep64 0021536a650d4fc6 0011a1af9c2edaa2 -> 0000026861090a04
   2773 pdep64 001af3d8d0c8c068 000e0282bc13b27b -> 000093504048c028
   2774 pdep64 001193de10460316 000854daa0b4cc02 -> 0010818c00000004
   2775 pdep64 000df6b241dd45c1 0006bcf63e2fbf3d -> 000c26b041d84581
   2776 pdep64 0008d24469947f91 000464f7852a566a -> 0000420408844d10
   2777 pdep64 0007028a17f7fc21 00037dac915ab5c5 -> 0002020a0565c401
   2778 pdep64 00042b77370e9574 000234911b32831c -> 0000286220060160
   2779 pdep64 00035ecaa6c8cb9c 0001c0a2862c25c1 -> 000304c00440c804
   2780 pdep64 000232b89c5ca207 00010addcd657882 -> 0002022898080002
   2781 pdep64 0001bf185a53fb83 0000d7b2a9b6ab1d -> 00011b084842c381
   2782 pdep64 00011a1af9c2f08e 00008cae2719cda3 -> 00000210e182a006
   2783 pdep64 0000e0282bc137ba 00006fc61694404d -> 0000402002000232
   2784 pdep64 0000854daa0b4caf 00004686be70612c -> 000085002800408c
   2785 pdep64 00006bcf63e2fc01 0000380a0af0025a -> 00004b800040b400
   2786 pdep64 0000464f7852a469 000021536a829879 -> 0000000a3000a441
   2787 pdep64 000037dac915aa8f 00001af3d8f8abea -> 000007c08811aa0a
   2788 pdep64 0000234911b3280d 00001193de14a839 -> 0000010810012801
   2789 pdep64 00001c0a2862c244 00000df6b24569e5 -> 0000180808620204
   2790 pdep64 000010addcd6577a 000008d2446cc8f9 -> 0000000cc4840762
   2791 pdep64 00000d7b2a9b6ac9 000007028a18af7d -> 00000030221b2ac1
   2792 pdep64 000008cae2719cd4 0000042b77359960 -> 0000084282411800
   2793 pdep64 000006fc61694403 0000035ecaa6d9df -> 0000066c01614403
   2794 pdep64 000004686be70610 00000232b89c662a -> 0000000843050200
   2795 pdep64 00000380a0af0023 000001bf185a50b0 -> 0000010000260000
   2796 pdep64 0000021536a82984 0000011a1af9c13b -> 0000021002082884
   2797 pdep64 000001af3d8f8abd 000000e0282bc000 -> 000000051d000000
   2798 pdep64 000001193de14a82 000000854daa0a5a -> 0000010009204880
   2799 pdep64 000000df6b24569d 0000006bcf63e2b5 -> 000000c368041289
   2800 pdep64 0000008d2446cc8e 000000464f7852a0 -> 0000000420048800
   2801 pdep64 0000007028a18af6 00000037dac915a7 -> 0000004008208896
   2802 pdep64 00000042b7735995 000000234911b322 -> 0000004015430804
   2803 pdep64 00000035ecaa6d9d 0000001c0a2862bc -> 0000001104804998
   2804 pdep64 000000232b89c661 00000010addcd664 -> 000000030980c040
   2805 pdep64 0000001bf185a509 0000000d7b2a9b66 -> 0000001261818108
   2806 pdep64 00000011a1af9c11 00000008cae27197 -> 00000000a1061411
   2807 pdep64 0000000e0282bbfd 00000006fc616943 -> 0000000200822285
   2808 pdep64 0000000854daa1a4 00000004686be704 -> 0000000840d00080
   2809 pdep64 00000006bcf63eb9 0000000380a0aeff -> 0000000200a62eb9
   2810 pdep64 0000000464f78590 000000021536a868 -> 0000000420830400
   2811 pdep64 000000037dac916c 00000001af3d8fad -> 0000000261ac1064
   2812 pdep64 0000000234911b32 00000001193de163 -> 0000000200101812
   2813 pdep64 00000001c0a2862b 00000000df6b2459 -> 0000000040008221
   2814 pdep64 000000010addcd65 000000008d2446ca -> 0000000000854844
   2815 pdep64 00000000d7b2a9b5 000000007028a18a -> 000000000500a024
   2816 pdep64 000000008cae2718 0000000042b77358 -> 00000000882a0600
   2817 pdep64 000000006fc61693 0000000035ecaa6c -> 0000000025401490
   2818 pdep64 000000004686be6e 00000000232b89c5 -> 0000000044021c0a
   2819 pdep64 00000000380a0af2 000000001bf185a4 -> 00000000100a0220
   2820 pdep64 0000000021536a83 0000000011a1af9b -> 0000000001530a03
   2821 pdep64 000000001af3d8f7 000000000e0282bb -> 0000000002404873
   2822 pdep64 000000001193de15 000000000854daa0 -> 0000000010914800
   2823 pdep64 000000000df6b244 0000000006bcf63d -> 000000000d60b204
   2824 pdep64 0000000008d2446b 000000000464f784 -> 0000000000d20008
   2825 pdep64 0000000007028a18 00000000037dac90 -> 0000000002008000
   2826 pdep64 00000000042b7735 000000000234911a -> 0000000000082124
   2827 pdep64 00000000035ecaa5 0000000001c0a285 -> 0000000001048021
   2828 pdep64 000000000232b89b 00000000010addcc -> 000000000230b018
   2829 pdep64 0000000001bf185a 0000000000d7b2a8 -> 00000000018a1040
   2830 pdep64 00000000011a1af9 00000000008cae26 -> 00000000001a0098
   2831 pdep64 0000000000e0282a 00000000006fc615 -> 0000000000002022
   2832 pdep64 0000000000854da9 00000000004686bd -> 00000000008445a1
   2833 pdep64 00000000006bcf62 0000000000380a0a -> 0000000000090120
   2834 pdep64 0000000000464f77 0000000000215369 -> 0000000000040d51
   2835 pdep64 000000000037dac9 00000000001af3d7 -> 000000000031d249
   2836 pdep64 0000000000234910 00000000001193de -> 0000000000214900
   2837 pdep64 00000000001c0a27 00000000000df6b1 -> 0000000000080a01
   2838 pdep64 000000000010add9 000000000008d242 -> 0000000000008408
   2839 pdep64 00000000000d7b28 0000000000070287 -> 0000000000044128
   2840 pdep64 000000000008cae0 0000000000042b72 -> 000000000000c840
   2841 pdep64 000000000006fc5f 0000000000035ec7 -> 000000000002ec07
   2842 pdep64 0000000000046871 00000000000232b3 -> 0000000000042811
   2843 pdep64 000000000003809d 000000000001bf16 -> 000000000000008c
   2844 pdep64 000000000002152c 0000000000011a1b -> 000000000000050c
   2845 pdep64 000000000001af3b 000000000000e027 -> 000000000000010b
   2846 pdep64 000000000001193c 000000000000854a -> 0000000000001028
   2847 pdep64 000000000000df6a 0000000000006bce -> 0000000000005c68
   2848 pdep64 0000000000008d23 000000000000464e -> 0000000000008122
   2849 pdep64 0000000000007026 00000000000037d9 -> 0000000000003002
   2850 pdep64 00000000000042b3 0000000000002347 -> 0000000000004013
   2851 pdep64 00000000000035e9 0000000000001c06 -> 0000000000000028
   2852 pdep64 0000000000002329 00000000000010a9 -> 0000000000002101
   2853 pdep64 0000000000001bef 0000000000000d78 -> 00000000000012e8
   2854 pdep64 00000000000011a3 00000000000008c8 -> 0000000000000080
   2855 pdep64 0000000000000e02 00000000000006fa -> 0000000000000a00
   2856 pdep64 0000000000000853 0000000000000468 -> 0000000000000040
   2857 pdep64 00000000000006ba 0000000000000380 -> 0000000000000000
   2858 pdep64 0000000000000464 0000000000000214 -> 0000000000000040
   2859 pdep64 000000000000037d 00000000000001ae -> 000000000000025c
   2860 pdep64 0000000000000233 0000000000000118 -> 0000000000000220
   2861 pdep64 00000000000001be 00000000000000de -> 000000000000013c
   2862 pdep64 0000000000000119 000000000000008c -> 0000000000000110
   2863 pdep64 00000000000000de 000000000000006f -> 000000000000009e
   2864 pdep64 000000000000008c 0000000000000045 -> 0000000000000084
   2865 pdep64 000000000000006f 0000000000000037 -> 0000000000000067
   2866 pdep64 0000000000000045 0000000000000022 -> 0000000000000004
   2867 pdep64 0000000000000037 000000000000001b -> 0000000000000033
   2868 pdep64 0000000000000022 0000000000000010 -> 0000000000000000
   2869 pdep64 000000000000001b 000000000000000c -> 0000000000000018
   2870 pdep64 0000000000000010 0000000000000007 -> 0000000000000010
   2871 pdep64 000000000000000c 0000000000000003 -> 000000000000000c
   2872 pdep64 0000000000000007 0000000000000001 -> 0000000000000001
   2873 pdep64 0000000000000003 0000000000000000 -> 0000000000000000
   2874 pdep64 0000000000000001 0000000000000000 -> 0000000000000000
   2875 pdep64 0000000000000000 0000000000000000 -> 0000000000000000
   2876 pdep32 fedc192837475675 57657438291cdef0 -> 0000000006471640
   2877 pdep32 8efcf23ad7e922f3 452015034e67c955 -> 00000000d6410251
   2878 pdep32 7068b90cdf850938 37e901ef56b302d6 -> 0000000002840830
   2879 pdep32 42db3e5ed85503a5 2348b20e142667cf -> 00000000885500a5
   2880 pdep32 35eea72efbea67d7 1c09d34c26e1c212 -> 00000000c1c02042
   2881 pdep32 232c23d3b476ef47 10ad37922d51847f -> 0000000004408747
   2882 pdep32 1bf0c1bf27fbb3ab 0d7b015093984564 -> 0000000024212308
   2883 pdep32 11a1311a29a562ea 08cac1103a60926a -> 0000000001042248
   2884 pdep32 0e02582b8350ffd0 06fc5681c8cd2b10 -> 0000000001106200
   2885 pdep32 0854b4408f5b9e17 04687224d51a87fa -> 000000000a039e12
   2886 pdep32 06bcf33434328063 03809eacb986283a -> 0000000000028042
   2887 pdep32 0464f596e5f3ab8a 02152e09a7eb825e -> 0000000064022388
   2888 pdep32 037dac8063df281c 01af3c969c7725a6 -> 00000000024d0018
   2889 pdep32 0234910d6d0cfe89 01193d5aad28c013 -> 0000000028000409
   2890 pdep32 01c0a27d7eaa2575 00df6b2373776c4a -> 000000006ca00424
   2891 pdep32 010adda943af43d8 008d24457d4341d1 -> 0000000002034108
   2892 pdep32 00d7b2ae8c91c8ce 007028a17fc8b89e -> 000000008c0080cc
   2893 pdep32 008cae284a0c2065 0042b77370e42ef0 -> 00000000420c2000
   2894 pdep32 006fc6190eb4fc04 0035ecaa6c888c28 -> 0000000002805000
   2895 pdep32 004686bd6e829ce5 00232b89c5c8c9b6 -> 00000000620094a4
   2896 pdep32 00380a0b248034f1 001bf185a53e82dc -> 00000000040030e0
   2897 pdep32 0021536a650d4fc6 0011a1af9c2edaa2 -> 0000000061090a04
   2898 pdep32 001af3d8d0c8c068 000e0282bc13b27b -> 000000004048c028
   2899 pdep32 001193de10460316 000854daa0b4cc02 -> 0000000000000004
   2900 pdep32 000df6b241dd45c1 0006bcf63e2fbf3d -> 0000000041d84581
   2901 pdep32 0008d24469947f91 000464f7852a566a -> 0000000008844d10
   2902 pdep32 0007028a17f7fc21 00037dac915ab5c5 -> 000000000565c401
   2903 pdep32 00042b77370e9574 000234911b32831c -> 0000000020060160
   2904 pdep32 00035ecaa6c8cb9c 0001c0a2862c25c1 -> 000000000440c804
   2905 pdep32 000232b89c5ca207 00010addcd657882 -> 0000000098080002
   2906 pdep32 0001bf185a53fb83 0000d7b2a9b6ab1d -> 000000004842c381
   2907 pdep32 00011a1af9c2f08e 00008cae2719cda3 -> 00000000e182a006
   2908 pdep32 0000e0282bc137ba 00006fc61694404d -> 0000000002000232
   2909 pdep32 0000854daa0b4caf 00004686be70612c -> 000000002800408c
   2910 pdep32 00006bcf63e2fc01 0000380a0af0025a -> 000000000040b400
   2911 pdep32 0000464f7852a469 000021536a829879 -> 000000003000a441
   2912 pdep32 000037dac915aa8f 00001af3d8f8abea -> 000000008811aa0a
   2913 pdep32 0000234911b3280d 00001193de14a839 -> 0000000010012801
   2914 pdep32 00001c0a2862c244 00000df6b24569e5 -> 0000000008620204
   2915 pdep32 000010addcd6577a 000008d2446cc8f9 -> 00000000c4840762
   2916 pdep32 00000d7b2a9b6ac9 000007028a18af7d -> 00000000221b2ac1
   2917 pdep32 000008cae2719cd4 0000042b77359960 -> 0000000082411800
   2918 pdep32 000006fc61694403 0000035ecaa6d9df -> 0000000001614403
   2919 pdep32 000004686be70610 00000232b89c662a -> 0000000043050200
   2920 pdep32 00000380a0af0023 000001bf185a50b0 -> 0000000000260000
   2921 pdep32 0000021536a82984 0000011a1af9c13b -> 0000000002082884
   2922 pdep32 000001af3d8f8abd 000000e0282bc000 -> 000000001d000000
   2923 pdep32 000001193de14a82 000000854daa0a5a -> 0000000009204880
   2924 pdep32 000000df6b24569d 0000006bcf63e2b5 -> 0000000068041289
   2925 pdep32 0000008d2446cc8e 000000464f7852a0 -> 0000000020048800
   2926 pdep32 0000007028a18af6 00000037dac915a7 -> 0000000008208896
   2927 pdep32 00000042b7735995 000000234911b322 -> 0000000015430804
   2928 pdep32 00000035ecaa6d9d 0000001c0a2862bc -> 0000000004804998
   2929 pdep32 000000232b89c661 00000010addcd664 -> 000000000980c040
   2930 pdep32 0000001bf185a509 0000000d7b2a9b66 -> 0000000061818108
   2931 pdep32 00000011a1af9c11 00000008cae27197 -> 00000000a1061411
   2932 pdep32 0000000e0282bbfd 00000006fc616943 -> 0000000000822285
   2933 pdep32 0000000854daa1a4 00000004686be704 -> 0000000040d00080
   2934 pdep32 00000006bcf63eb9 0000000380a0aeff -> 0000000000a62eb9
   2935 pdep32 0000000464f78590 000000021536a868 -> 0000000020830400
   2936 pdep32 000000037dac916c 00000001af3d8fad -> 0000000061ac1064
   2937 pdep32 0000000234911b32 00000001193de163 -> 0000000000101812
   2938 pdep32 00000001c0a2862b 00000000df6b2459 -> 0000000040008221
   2939 pdep32 000000010addcd65 000000008d2446ca -> 0000000000854844
   2940 pdep32 00000000d7b2a9b5 000000007028a18a -> 000000000500a024
   2941 pdep32 000000008cae2718 0000000042b77358 -> 00000000882a0600
   2942 pdep32 000000006fc61693 0000000035ecaa6c -> 0000000025401490
   2943 pdep32 000000004686be6e 00000000232b89c5 -> 0000000044021c0a
   2944 pdep32 00000000380a0af2 000000001bf185a4 -> 00000000100a0220
   2945 pdep32 0000000021536a83 0000000011a1af9b -> 0000000001530a03
   2946 pdep32 000000001af3d8f7 000000000e0282bb -> 0000000002404873
   2947 pdep32 000000001193de15 000000000854daa0 -> 0000000010914800
   2948 pdep32 000000000df6b244 0000000006bcf63d -> 000000000d60b204
   2949 pdep32 0000000008d2446b 000000000464f784 -> 0000000000d20008
   2950 pdep32 0000000007028a18 00000000037dac90 -> 0000000002008000
   2951 pdep32 00000000042b7735 000000000234911a -> 0000000000082124
   2952 pdep32 00000000035ecaa5 0000000001c0a285 -> 0000000001048021
   2953 pdep32 000000000232b89b 00000000010addcc -> 000000000230b018
   2954 pdep32 0000000001bf185a 0000000000d7b2a8 -> 00000000018a1040
   2955 pdep32 00000000011a1af9 00000000008cae26 -> 00000000001a0098
   2956 pdep32 0000000000e0282a 00000000006fc615 -> 0000000000002022
   2957 pdep32 0000000000854da9 00000000004686bd -> 00000000008445a1
   2958 pdep32 00000000006bcf62 0000000000380a0a -> 0000000000090120
   2959 pdep32 0000000000464f77 0000000000215369 -> 0000000000040d51
   2960 pdep32 000000000037dac9 00000000001af3d7 -> 000000000031d249
   2961 pdep32 0000000000234910 00000000001193de -> 0000000000214900
   2962 pdep32 00000000001c0a27 00000000000df6b1 -> 0000000000080a01
   2963 pdep32 000000000010add9 000000000008d242 -> 0000000000008408
   2964 pdep32 00000000000d7b28 0000000000070287 -> 0000000000044128
   2965 pdep32 000000000008cae0 0000000000042b72 -> 000000000000c840
   2966 pdep32 000000000006fc5f 0000000000035ec7 -> 000000000002ec07
   2967 pdep32 0000000000046871 00000000000232b3 -> 0000000000042811
   2968 pdep32 000000000003809d 000000000001bf16 -> 000000000000008c
   2969 pdep32 000000000002152c 0000000000011a1b -> 000000000000050c
   2970 pdep32 000000000001af3b 000000000000e027 -> 000000000000010b
   2971 pdep32 000000000001193c 000000000000854a -> 0000000000001028
   2972 pdep32 000000000000df6a 0000000000006bce -> 0000000000005c68
   2973 pdep32 0000000000008d23 000000000000464e -> 0000000000008122
   2974 pdep32 0000000000007026 00000000000037d9 -> 0000000000003002
   2975 pdep32 00000000000042b3 0000000000002347 -> 0000000000004013
   2976 pdep32 00000000000035e9 0000000000001c06 -> 0000000000000028
   2977 pdep32 0000000000002329 00000000000010a9 -> 0000000000002101
   2978 pdep32 0000000000001bef 0000000000000d78 -> 00000000000012e8
   2979 pdep32 00000000000011a3 00000000000008c8 -> 0000000000000080
   2980 pdep32 0000000000000e02 00000000000006fa -> 0000000000000a00
   2981 pdep32 0000000000000853 0000000000000468 -> 0000000000000040
   2982 pdep32 00000000000006ba 0000000000000380 -> 0000000000000000
   2983 pdep32 0000000000000464 0000000000000214 -> 0000000000000040
   2984 pdep32 000000000000037d 00000000000001ae -> 000000000000025c
   2985 pdep32 0000000000000233 0000000000000118 -> 0000000000000220
   2986 pdep32 00000000000001be 00000000000000de -> 000000000000013c
   2987 pdep32 0000000000000119 000000000000008c -> 0000000000000110
   2988 pdep32 00000000000000de 000000000000006f -> 000000000000009e
   2989 pdep32 000000000000008c 0000000000000045 -> 0000000000000084
   2990 pdep32 000000000000006f 0000000000000037 -> 0000000000000067
   2991 pdep32 0000000000000045 0000000000000022 -> 0000000000000004
   2992 pdep32 0000000000000037 000000000000001b -> 0000000000000033
   2993 pdep32 0000000000000022 0000000000000010 -> 0000000000000000
   2994 pdep32 000000000000001b 000000000000000c -> 0000000000000018
   2995 pdep32 0000000000000010 0000000000000007 -> 0000000000000010
   2996 pdep32 000000000000000c 0000000000000003 -> 000000000000000c
   2997 pdep32 0000000000000007 0000000000000001 -> 0000000000000001
   2998 pdep32 0000000000000003 0000000000000000 -> 0000000000000000
   2999 pdep32 0000000000000001 0000000000000000 -> 0000000000000000
   3000 pdep32 0000000000000000 0000000000000000 -> 0000000000000000
   3001 pext64 fedc192837475675 57657438291cdef0 -> 00000002b4ce29fc
   3002 pext64 8efcf23ad7e922f3 452015034e67c955 -> 00000008810acd15
   3003 pext64 7068b90cdf850938 37e901ef56b302d6 -> 0000000007c3b6a2
   3004 pext64 42db3e5ed85503a5 2348b20e142667cf -> 0000000054c9c8bb
   3005 pext64 35eea72efbea67d7 1c09d34c26e1c212 -> 000001849b12e48a
   3006 pext64 232c23d3b476ef47 10ad37922d51847f -> 000000007f65a44f
   3007 pext64 1bf0c1bf27fbb3ab 0d7b015093984564 -> 0000002b92073028
   3008 pext64 11a1311a29a562ea 08cac1103a60926a -> 000000000086642f
   3009 pext64 0e02582b8350ffd0 06fc5681c8cd2b10 -> 0000000003619159
   3010 pext64 0854b4408f5b9e17 04687224d51a87fa -> 0000000004655d3a
   3011 pext64 06bcf33434328063 03809eacb986283a -> 000000000309ae26
   3012 pext64 0464f596e5f3ab8a 02152e09a7eb825e -> 000000002505fb93
   3013 pext64 037dac8063df281c 01af3c969c7725a6 -> 000000000aef06f1
   3014 pext64 0234910d6d0cfe89 01193d5aad28c013 -> 000000000138fb01
   3015 pext64 01c0a27d7eaa2575 00df6b2373776c4a -> 000000001b4795d0
   3016 pext64 010adda943af43d8 008d24457d4341d1 -> 000000000821a1de
   3017 pext64 00d7b2ae8c91c8ce 007028a17fc8b89e -> 000000000c261cb7
   3018 pext64 008cae284a0c2065 0042b77370e42ef0 -> 000000000001ba3c
   3019 pext64 006fc6190eb4fc04 0035ecaa6c888c28 -> 0000000002bcb446
   3020 pext64 004686bd6e829ce5 00232b89c5c8c9b6 -> 0000000002659556
   3021 pext64 00380a0b248034f1 001bf185a53e82dc -> 0000000000030e1a
   3022 pext64 0021536a650d4fc6 0011a1af9c2edaa2 -> 0000000001172da9
   3023 pext64 001af3d8d0c8c068 000e0282bc13b27b -> 0000000000614517
   3024 pext64 001193de10460316 000854daa0b4cc02 -> 0000000000027a41
   3025 pext64 000df6b241dd45c1 0006bcf63e2fbf3d -> 0000000002bbc1d9
   3026 pext64 0008d24469947f91 000464f7852a566a -> 00000000002622b0
   3027 pext64 0007028a17f7fc21 00037dac915ab5c5 -> 0000000001b4aab5
   3028 pext64 00042b77370e9574 000234911b32831c -> 0000000002095993
   3029 pext64 00035ecaa6c8cb9c 0001c0a2862c25c1 -> 000000000309b218
   3030 pext64 000232b89c5ca207 00010addcd657882 -> 000000000006ee52
   3031 pext64 0001bf185a53fb83 0000d7b2a9b6ab1d -> 0000000005789ab9
   3032 pext64 00011a1af9c2f08e 00008cae2719cda3 -> 00000000002648c9
   3033 pext64 0000e0282bc137ba 00006fc61694404d -> 0000000000185002
   3034 pext64 0000854daa0b4caf 00004686be70612c -> 000000000022f11c
   3035 pext64 00006bcf63e2fc01 0000380a0af0025a -> 0000000001851700
   3036 pext64 0000464f7852a469 000021536a829879 -> 000000000004f4cf
   3037 pext64 000037dac915aa8f 00001af3d8f8abea -> 00000000015de9fa
   3038 pext64 0000234911b3280d 00001193de14a839 -> 000000000000989d
   3039 pext64 00001c0a2862c244 00000df6b24569e5 -> 000000000000368b
   3040 pext64 000010addcd6577a 000008d2446cc8f9 -> 000000000104aa1e
   3041 pext64 00000d7b2a9b6ac9 000007028a18af7d -> 0000000000c26c77
   3042 pext64 000008cae2719cd4 0000042b77359960 -> 00000000000377e4
   3043 pext64 000006fc61694403 0000035ecaa6d9df -> 000000000002bc4b
   3044 pext64 000004686be70610 00000232b89c662a -> 0000000000009926
   3045 pext64 00000380a0af0023 000001bf185a50b0 -> 0000000000001854
   3046 pext64 0000021536a82984 0000011a1af9c13b -> 00000000000045e4
   3047 pext64 000001af3d8f8abd 000000e0282bc000 -> 0000000001851700
   3048 pext64 000001193de14a82 000000854daa0a5a -> 0000000000004f4d
   3049 pext64 000000df6b24569d 0000006bcf63e2b5 -> 00000000002bbd3b
   3050 pext64 0000008d2446cc8e 000000464f7852a0 -> 0000000000004c48
   3051 pext64 0000007028a18af6 00000037dac915a7 -> 000000000000da2b
   3052 pext64 00000042b7735995 000000234911b322 -> 0000000000082550
   3053 pext64 00000035ecaa6d9d 0000001c0a2862bc -> 0000000000309b1e
   3054 pext64 000000232b89c661 00000010addcd664 -> 000000000000377e
   3055 pext64 0000001bf185a509 0000000d7b2a9b66 -> 0000000000015e24
   3056 pext64 00000011a1af9c11 00000008cae27197 -> 0000000000004c93
   3057 pext64 0000000e0282bbfd 00000006fc616943 -> 0000000000030aa1
   3058 pext64 0000000854daa1a4 00000004686be704 -> 00000000000022f9
   3059 pext64 00000006bcf63eb9 0000000380a0aeff -> 000000000030a2ff
   3060 pext64 0000000464f78590 000000021536a868 -> 00000000000013d0
   3061 pext64 000000037dac916c 00000001af3d8fad -> 000000000002bbd7
   3062 pext64 0000000234911b32 00000001193de163 -> 000000000000098d
   3063 pext64 00000001c0a2862b 00000000df6b2459 -> 0000000000000da5
   3064 pext64 000000010addcd65 000000008d2446ca -> 00000000000104a8
   3065 pext64 00000000d7b2a9b5 000000007028a18a -> 00000000000309b0
   3066 pext64 000000008cae2718 0000000042b77358 -> 00000000000006ef
   3067 pext64 000000006fc61693 0000000035ecaa6c -> 000000000000af10
   3068 pext64 000000004686be6e 00000000232b89c5 -> 0000000000004c92
   3069 pext64 00000000380a0af2 000000001bf185a4 -> 0000000000000614
   3070 pext64 0000000021536a83 0000000011a1af9b -> 00000000000008bf
   3071 pext64 000000001af3d8f7 000000000e0282bb -> 000000000006145b
   3072 pext64 000000001193de15 000000000854daa0 -> 00000000000009e8
   3073 pext64 000000000df6b244 0000000006bcf63d -> 0000000000002bbd
   3074 pext64 0000000008d2446b 000000000464f784 -> 0000000000000260
   3075 pext64 0000000007028a18 00000000037dac90 -> 00000000000000da
   3076 pext64 00000000042b7735 000000000234911a -> 0000000000002094
   3077 pext64 00000000035ecaa5 0000000001c0a285 -> 000000000000309b
   3078 pext64 000000000232b89b 00000000010addcc -> 0000000000000374
   3079 pext64 0000000001bf185a 0000000000d7b2a8 -> 00000000000015e2
   3080 pext64 00000000011a1af9 00000000008cae26 -> 00000000000004c8
   3081 pext64 0000000000e0282a 00000000006fc615 -> 0000000000000060
   3082 pext64 0000000000854da9 00000000004686bd -> 000000000000022f
   3083 pext64 00000000006bcf62 0000000000380a0a -> 0000000000001851
   3084 pext64 0000000000464f77 0000000000215369 -> 00000000000004f1
   3085 pext64 000000000037dac9 00000000001af3d7 -> 00000000000015dd
   3086 pext64 0000000000234910 00000000001193de -> 0000000000000013
   3087 pext64 00000000001c0a27 00000000000df6b1 -> 00000000000000d9
   3088 pext64 000000000010add9 000000000008d242 -> 0000000000000208
   3089 pext64 00000000000d7b28 0000000000070287 -> 0000000000000308
   3090 pext64 000000000008cae0 0000000000042b72 -> 000000000000001b
   3091 pext64 000000000006fc5f 0000000000035ec7 -> 00000000000015e7
   3092 pext64 0000000000046871 00000000000232b3 -> 0000000000000027
   3093 pext64 000000000003809d 000000000001bf16 -> 000000000000006a
   3094 pext64 000000000002152c 0000000000011a1b -> 0000000000000022
   3095 pext64 000000000001af3b 000000000000e027 -> 0000000000000613
   3096 pext64 000000000001193c 000000000000854a -> 0000000000000012
   3097 pext64 000000000000df6a 0000000000006bce -> 00000000000002bb
   3098 pext64 0000000000008d23 000000000000464e -> 0000000000000012
   3099 pext64 0000000000007026 00000000000037d9 -> 0000000000000018
   3100 pext64 00000000000042b3 0000000000002347 -> 0000000000000023
   3101 pext64 00000000000035e9 0000000000001c06 -> 00000000000000c0
   3102 pext64 0000000000002329 00000000000010a9 -> 0000000000000007
   3103 pext64 0000000000001bef 0000000000000d78 -> 00000000000002b8
   3104 pext64 00000000000011a3 00000000000008c8 -> 0000000000000008
   3105 pext64 0000000000000e02 00000000000006fa -> 0000000000000007
   3106 pext64 0000000000000853 0000000000000468 -> 0000000000000008
   3107 pext64 00000000000006ba 0000000000000380 -> 0000000000000030
   3108 pext64 0000000000000464 0000000000000214 -> 0000000000000001
   3109 pext64 000000000000037d 00000000000001ae -> 0000000000000056
   3110 pext64 0000000000000233 0000000000000118 -> 0000000000000004
   3111 pext64 00000000000001be 00000000000000de -> 000000000000002f
   3112 pext64 0000000000000119 000000000000008c -> 0000000000000002
   3113 pext64 00000000000000de 000000000000006f -> 0000000000000017
   3114 pext64 000000000000008c 0000000000000045 -> 0000000000000001
   3115 pext64 000000000000006f 0000000000000037 -> 0000000000000017
   3116 pext64 0000000000000045 0000000000000022 -> 0000000000000000
   3117 pext64 0000000000000037 000000000000001b -> 000000000000000b
   3118 pext64 0000000000000022 0000000000000010 -> 0000000000000000
   3119 pext64 000000000000001b 000000000000000c -> 0000000000000004
   3120 pext64 0000000000000010 0000000000000007 -> 0000000000000000
   3121 pext64 000000000000000c 0000000000000003 -> 0000000000000000
   3122 pext64 0000000000000007 0000000000000001 -> 0000000000000001
   3123 pext64 0000000000000003 0000000000000000 -> 0000000000000000
   3124 pext64 0000000000000001 0000000000000000 -> 0000000000000000
   3125 pext64 0000000000000000 0000000000000000 -> 0000000000000000
   3126 pext32 fedc192837475675 57657438291cdef0 -> 00000000000229fc
   3127 pext32 8efcf23ad7e922f3 452015034e67c955 -> 000000000002cd15
   3128 pext32 7068b90cdf850938 37e901ef56b302d6 -> 00000000000036a2
   3129 pext32 42db3e5ed85503a5 2348b20e142667cf -> 00000000000008bb
   3130 pext32 35eea72efbea67d7 1c09d34c26e1c212 -> 000000000012e48a
   3131 pext32 232c23d3b476ef47 10ad37922d51847f -> 000000000005a44f
   3132 pext32 1bf0c1bf27fbb3ab 0d7b015093984564 -> 0000000000073028
   3133 pext32 11a1311a29a562ea 08cac1103a60926a -> 000000000000642f
   3134 pext32 0e02582b8350ffd0 06fc5681c8cd2b10 -> 0000000000009159
   3135 pext32 0854b4408f5b9e17 04687224d51a87fa -> 0000000000055d3a
   3136 pext32 06bcf33434328063 03809eacb986283a -> 0000000000000626
   3137 pext32 0464f596e5f3ab8a 02152e09a7eb825e -> 000000000005fb93
   3138 pext32 037dac8063df281c 01af3c969c7725a6 -> 00000000000006f1
   3139 pext32 0234910d6d0cfe89 01193d5aad28c013 -> 000000000000fb01
   3140 pext32 01c0a27d7eaa2575 00df6b2373776c4a -> 00000000000395d0
   3141 pext32 010adda943af43d8 008d24457d4341d1 -> 000000000000a1de
   3142 pext32 00d7b2ae8c91c8ce 007028a17fc8b89e -> 0000000000001cb7
   3143 pext32 008cae284a0c2065 0042b77370e42ef0 -> 000000000000023c
   3144 pext32 006fc6190eb4fc04 0035ecaa6c888c28 -> 0000000000003446
   3145 pext32 004686bd6e829ce5 00232b89c5c8c9b6 -> 0000000000009556
   3146 pext32 00380a0b248034f1 001bf185a53e82dc -> 000000000000061a
   3147 pext32 0021536a650d4fc6 0011a1af9c2edaa2 -> 0000000000002da9
   3148 pext32 001af3d8d0c8c068 000e0282bc13b27b -> 0000000000000517
   3149 pext32 001193de10460316 000854daa0b4cc02 -> 0000000000000041
   3150 pext32 000df6b241dd45c1 0006bcf63e2fbf3d -> 00000000000001d9
   3151 pext32 0008d24469947f91 000464f7852a566a -> 00000000000022b0
   3152 pext32 0007028a17f7fc21 00037dac915ab5c5 -> 000000000004aab5
   3153 pext32 00042b77370e9574 000234911b32831c -> 0000000000005993
   3154 pext32 00035ecaa6c8cb9c 0001c0a2862c25c1 -> 000000000000b218
   3155 pext32 000232b89c5ca207 00010addcd657882 -> 0000000000002e52
   3156 pext32 0001bf185a53fb83 0000d7b2a9b6ab1d -> 0000000000009ab9
   3157 pext32 00011a1af9c2f08e 00008cae2719cda3 -> 00000000000048c9
   3158 pext32 0000e0282bc137ba 00006fc61694404d -> 0000000000005002
   3159 pext32 0000854daa0b4caf 00004686be70612c -> 000000000000f11c
   3160 pext32 00006bcf63e2fc01 0000380a0af0025a -> 0000000000001700
   3161 pext32 0000464f7852a469 000021536a829879 -> 00000000000034cf
   3162 pext32 000037dac915aa8f 00001af3d8f8abea -> 000000000000e9fa
   3163 pext32 0000234911b3280d 00001193de14a839 -> 000000000000089d
   3164 pext32 00001c0a2862c244 00000df6b24569e5 -> 000000000000028b
   3165 pext32 000010addcd6577a 000008d2446cc8f9 -> 000000000004aa1e
   3166 pext32 00000d7b2a9b6ac9 000007028a18af7d -> 0000000000006c77
   3167 pext32 000008cae2719cd4 0000042b77359960 -> 00000000000077e4
   3168 pext32 000006fc61694403 0000035ecaa6d9df -> 000000000000044b
   3169 pext32 000004686be70610 00000232b89c662a -> 0000000000001926
   3170 pext32 00000380a0af0023 000001bf185a50b0 -> 0000000000000054
   3171 pext32 0000021536a82984 0000011a1af9c13b -> 00000000000005e4
   3172 pext32 000001af3d8f8abd 000000e0282bc000 -> 0000000000051700
   3173 pext32 000001193de14a82 000000854daa0a5a -> 0000000000000f4d
   3174 pext32 000000df6b24569d 0000006bcf63e2b5 -> 000000000000bd3b
   3175 pext32 0000008d2446cc8e 000000464f7852a0 -> 0000000000000c48
   3176 pext32 0000007028a18af6 00000037dac915a7 -> 0000000000001a2b
   3177 pext32 00000042b7735995 000000234911b322 -> 0000000000002550
   3178 pext32 00000035ecaa6d9d 0000001c0a2862bc -> 0000000000009b1e
   3179 pext32 000000232b89c661 00000010addcd664 -> 000000000000377e
   3180 pext32 0000001bf185a509 0000000d7b2a9b66 -> 0000000000001e24
   3181 pext32 00000011a1af9c11 00000008cae27197 -> 0000000000004c93
   3182 pext32 0000000e0282bbfd 00000006fc616943 -> 0000000000000aa1
   3183 pext32 0000000854daa1a4 00000004686be704 -> 00000000000022f9
   3184 pext32 00000006bcf63eb9 0000000380a0aeff -> 000000000010a2ff
   3185 pext32 0000000464f78590 000000021536a868 -> 00000000000013d0
   3186 pext32 000000037dac916c 00000001af3d8fad -> 000000000000bbd7
   3187 pext32 0000000234911b32 00000001193de163 -> 000000000000098d
   3188 pext32 00000001c0a2862b 00000000df6b2459 -> 0000000000000da5
   3189 pext32 000000010addcd65 000000008d2446ca -> 00000000000104a8
   3190 pext32 00000000d7b2a9b5 000000007028a18a -> 00000000000309b0
   3191 pext32 000000008cae2718 0000000042b77358 -> 00000000000006ef
   3192 pext32 000000006fc61693 0000000035ecaa6c -> 000000000000af10
   3193 pext32 000000004686be6e 00000000232b89c5 -> 0000000000004c92
   3194 pext32 00000000380a0af2 000000001bf185a4 -> 0000000000000614
   3195 pext32 0000000021536a83 0000000011a1af9b -> 00000000000008bf
   3196 pext32 000000001af3d8f7 000000000e0282bb -> 000000000006145b
   3197 pext32 000000001193de15 000000000854daa0 -> 00000000000009e8
   3198 pext32 000000000df6b244 0000000006bcf63d -> 0000000000002bbd
   3199 pext32 0000000008d2446b 000000000464f784 -> 0000000000000260
   3200 pext32 0000000007028a18 00000000037dac90 -> 00000000000000da
   3201 pext32 00000000042b7735 000000000234911a -> 0000000000002094
   3202 pext32 00000000035ecaa5 0000000001c0a285 -> 000000000000309b
   3203 pext32 000000000232b89b 00000000010addcc -> 0000000000000374
   3204 pext32 0000000001bf185a 0000000000d7b2a8 -> 00000000000015e2
   3205 pext32 00000000011a1af9 00000000008cae26 -> 00000000000004c8
   3206 pext32 0000000000e0282a 00000000006fc615 -> 0000000000000060
   3207 pext32 0000000000854da9 00000000004686bd -> 000000000000022f
   3208 pext32 00000000006bcf62 0000000000380a0a -> 0000000000001851
   3209 pext32 0000000000464f77 0000000000215369 -> 00000000000004f1
   3210 pext32 000000000037dac9 00000000001af3d7 -> 00000000000015dd
   3211 pext32 0000000000234910 00000000001193de -> 0000000000000013
   3212 pext32 00000000001c0a27 00000000000df6b1 -> 00000000000000d9
   3213 pext32 000000000010add9 000000000008d242 -> 0000000000000208
   3214 pext32 00000000000d7b28 0000000000070287 -> 0000000000000308
   3215 pext32 000000000008cae0 0000000000042b72 -> 000000000000001b
   3216 pext32 000000000006fc5f 0000000000035ec7 -> 00000000000015e7
   3217 pext32 0000000000046871 00000000000232b3 -> 0000000000000027
   3218 pext32 000000000003809d 000000000001bf16 -> 000000000000006a
   3219 pext32 000000000002152c 0000000000011a1b -> 0000000000000022
   3220 pext32 000000000001af3b 000000000000e027 -> 0000000000000613
   3221 pext32 000000000001193c 000000000000854a -> 0000000000000012
   3222 pext32 000000000000df6a 0000000000006bce -> 00000000000002bb
   3223 pext32 0000000000008d23 000000000000464e -> 0000000000000012
   3224 pext32 0000000000007026 00000000000037d9 -> 0000000000000018
   3225 pext32 00000000000042b3 0000000000002347 -> 0000000000000023
   3226 pext32 00000000000035e9 0000000000001c06 -> 00000000000000c0
   3227 pext32 0000000000002329 00000000000010a9 -> 0000000000000007
   3228 pext32 0000000000001bef 0000000000000d78 -> 00000000000002b8
   3229 pext32 00000000000011a3 00000000000008c8 -> 0000000000000008
   3230 pext32 0000000000000e02 00000000000006fa -> 0000000000000007
   3231 pext32 0000000000000853 0000000000000468 -> 0000000000000008
   3232 pext32 00000000000006ba 0000000000000380 -> 0000000000000030
   3233 pext32 0000000000000464 0000000000000214 -> 0000000000000001
   3234 pext32 000000000000037d 00000000000001ae -> 0000000000000056
   3235 pext32 0000000000000233 0000000000000118 -> 0000000000000004
   3236 pext32 00000000000001be 00000000000000de -> 000000000000002f
   3237 pext32 0000000000000119 000000000000008c -> 0000000000000002
   3238 pext32 00000000000000de 000000000000006f -> 0000000000000017
   3239 pext32 000000000000008c 0000000000000045 -> 0000000000000001
   3240 pext32 000000000000006f 0000000000000037 -> 0000000000000017
   3241 pext32 0000000000000045 0000000000000022 -> 0000000000000000
   3242 pext32 0000000000000037 000000000000001b -> 000000000000000b
   3243 pext32 0000000000000022 0000000000000010 -> 0000000000000000
   3244 pext32 000000000000001b 000000000000000c -> 0000000000000004
   3245 pext32 0000000000000010 0000000000000007 -> 0000000000000000
   3246 pext32 000000000000000c 0000000000000003 -> 0000000000000000
   3247 pext32 0000000000000007 0000000000000001 -> 0000000000000001
   3248 pext32 0000000000000003 0000000000000000 -> 0000000000000000
   3249 pext32 0000000000000001 0000000000000000 -> 0000000000000000
   3250 pext32 0000000000000000 0000000000000000 -> 0000000000000000
   3251