Home | History | Annotate | Download | only in slice.arr.assign
      1 //===----------------------------------------------------------------------===//
      2 //
      3 //                     The LLVM Compiler Infrastructure
      4 //
      5 // This file is dual licensed under the MIT and the University of Illinois Open
      6 // Source Licenses. See LICENSE.TXT for details.
      7 //
      8 //===----------------------------------------------------------------------===//
      9 
     10 // <valarray>
     11 
     12 // template <class T> class slice_array
     13 
     14 // const slice_array& operator=(const slice_array& sa) const;
     15 
     16 #include <valarray>
     17 #include <cassert>
     18 
     19 int main()
     20 {
     21     {
     22     int a1[] = {0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15};
     23     int a2[] = {-1, -2, -3, -4, -5, -6, -7, -8, -9, -10, -11, -12};
     24     std::valarray<int> v1(a1, sizeof(a1)/sizeof(a1[0]));
     25     const std::valarray<int> v2(a2, sizeof(a2)/sizeof(a2[0]));
     26     v1[std::slice(1, 5, 3)] = v2[std::slice(2, 5, 2)];
     27     assert(v1.size() == 16);
     28     assert(v1[ 0] ==  0);
     29     assert(v1[ 1] == -3);
     30     assert(v1[ 2] ==  2);
     31     assert(v1[ 3] ==  3);
     32     assert(v1[ 4] == -5);
     33     assert(v1[ 5] ==  5);
     34     assert(v1[ 6] ==  6);
     35     assert(v1[ 7] == -7);
     36     assert(v1[ 8] ==  8);
     37     assert(v1[ 9] ==  9);
     38     assert(v1[10] == -9);
     39     assert(v1[11] == 11);
     40     assert(v1[12] == 12);
     41     assert(v1[13] == -11);
     42     assert(v1[14] == 14);
     43     assert(v1[15] == 15);
     44     }
     45     // Test return value of assignment.
     46     {
     47     int a1[] = {0, 1, 2};
     48     std::valarray<int> v1(a1, 3);
     49     std::slice_array<int> s1 = v1[std::slice(1, 1, 1)];
     50     std::slice_array<int> s2 = v1[std::slice(0, 1, 1)];
     51     std::slice_array<int> const & s3 = (s1 = s2);
     52     assert(&s1 == &s3);
     53     }
     54 }
     55