Home | History | Annotate | Download | only in bfin
      1 #objdump: -dr
      2 #name: move2
      3 .*: +file format .*
      4 
      5 Disassembly of section .text:
      6 
      7 00000000 <.text>:
      8    0:	00 30       	R0 = R0;
      9    2:	09 30       	R1 = R1;
     10    4:	12 30       	R2 = R2;
     11    6:	1b 30       	R3 = R3;
     12    8:	24 30       	R4 = R4;
     13    a:	2d 30       	R5 = R5;
     14    c:	36 30       	R6 = R6;
     15    e:	3f 30       	R7 = R7;
     16   10:	40 32       	P0 = P0;
     17   12:	49 32       	P1 = P1;
     18   14:	52 32       	P2 = P2;
     19   16:	5b 32       	P3 = P3;
     20   18:	64 32       	P4 = P4;
     21   1a:	6d 32       	P5 = P5;
     22   1c:	76 32       	SP = SP;
     23   1e:	7f 32       	FP = FP;
     24   20:	00 39       	A0.X = A0.X;
     25   22:	09 39       	A0.W = A0.W;
     26   24:	12 39       	A1.X = A1.X;
     27   26:	1b 39       	A1.W = A1.W;
     28   28:	03 31       	R0 = A1.W;
     29   2a:	0a 31       	R1 = A1.X;
     30   2c:	11 31       	R2 = A0.W;
     31   2e:	18 31       	R3 = A0.X;
     32   30:	67 30       	R4 = FP;
     33   32:	6e 30       	R5 = SP;
     34   34:	75 30       	R6 = P5;
     35   36:	7c 30       	R7 = P4;
     36   38:	43 32       	P0 = P3;
     37   3a:	4a 32       	P1 = P2;
     38   3c:	51 32       	P2 = P1;
     39   3e:	58 32       	P3 = P0;
     40   40:	27 32       	P4 = R7;
     41   42:	2e 32       	P5 = R6;
     42   44:	35 32       	SP = R5;
     43   46:	3c 32       	FP = R4;
     44   48:	03 38       	A0.X = R3;
     45   4a:	0a 38       	A0.W = R2;
     46   4c:	11 38       	A1.X = R1;
     47   4e:	18 38       	A1.W = R0;
     48   50:	01 39       	A0.X = A0.W;
     49   52:	03 39       	A0.X = A1.W;
     50   54:	02 39       	A0.X = A1.X;
     51   56:	13 39       	A1.X = A1.W;
     52   58:	11 39       	A1.X = A0.W;
     53   5a:	10 39       	A1.X = A0.X;
     54   5c:	09 39       	A0.W = A0.W;
     55   5e:	0b 39       	A0.W = A1.W;
     56   60:	0a 39       	A0.W = A1.X;
     57   62:	1b 39       	A1.W = A1.W;
     58   64:	19 39       	A1.W = A0.W;
     59   66:	18 39       	A1.W = A0.X;
     60   68:	80 30       	R0 = I0;
     61   6a:	89 30       	R1 = I1;
     62   6c:	92 30       	R2 = I2;
     63   6e:	9b 30       	R3 = I3;
     64   70:	a4 30       	R4 = M0;
     65   72:	ad 30       	R5 = M1;
     66   74:	b6 30       	R6 = M2;
     67   76:	bf 30       	R7 = M3;
     68   78:	c0 30       	R0 = B0;
     69   7a:	c9 30       	R1 = B1;
     70   7c:	d2 30       	R2 = B2;
     71   7e:	db 30       	R3 = B3;
     72   80:	e4 30       	R4 = L0;
     73   82:	ed 30       	R5 = L1;
     74   84:	f6 30       	R6 = L2;
     75   86:	ff 30       	R7 = L3;
     76   88:	80 32       	P0 = I0;
     77   8a:	89 32       	P1 = I1;
     78   8c:	92 32       	P2 = I2;
     79   8e:	9b 32       	P3 = I3;
     80   90:	a4 32       	P4 = M0;
     81   92:	ad 32       	P5 = M1;
     82   94:	b6 32       	SP = M2;
     83   96:	bf 32       	FP = M3;
     84   98:	c0 32       	P0 = B0;
     85   9a:	c9 32       	P1 = B1;
     86   9c:	d2 32       	P2 = B2;
     87   9e:	db 32       	P3 = B3;
     88   a0:	e4 32       	P4 = L0;
     89   a2:	ed 32       	P5 = L1;
     90   a4:	f6 32       	SP = L2;
     91   a6:	ff 32       	FP = L3;
     92   a8:	80 38       	A0.X = I0;
     93   aa:	89 38       	A0.W = I1;
     94   ac:	92 38       	A1.X = I2;
     95   ae:	9b 38       	A1.W = I3;
     96   b0:	84 38       	A0.X = M0;
     97   b2:	8d 38       	A0.W = M1;
     98   b4:	96 38       	A1.X = M2;
     99   b6:	9f 38       	A1.W = M3;
    100   b8:	c0 38       	A0.X = B0;
    101   ba:	c9 38       	A0.W = B1;
    102   bc:	d2 38       	A1.X = B2;
    103   be:	db 38       	A1.W = B3;
    104   c0:	c4 38       	A0.X = L0;
    105   c2:	cd 38       	A0.W = L1;
    106   c4:	d6 38       	A1.X = L2;
    107   c6:	df 38       	A1.W = L3;
    108   c8:	00 34       	I0 = R0;
    109   ca:	48 34       	I1 = P0;
    110   cc:	56 34       	I2 = SP;
    111   ce:	5f 34       	I3 = FP;
    112   d0:	00 35       	I0 = A0.X;
    113   d2:	09 35       	I1 = A0.W;
    114   d4:	12 35       	I2 = A1.X;
    115   d6:	1b 35       	I3 = A1.W;
    116   d8:	20 34       	M0 = R0;
    117   da:	68 34       	M1 = P0;
    118   dc:	76 34       	M2 = SP;
    119   de:	7f 34       	M3 = FP;
    120   e0:	20 35       	M0 = A0.X;
    121   e2:	29 35       	M1 = A0.W;
    122   e4:	32 35       	M2 = A1.X;
    123   e6:	3b 35       	M3 = A1.W;
    124   e8:	00 36       	B0 = R0;
    125   ea:	48 36       	B1 = P0;
    126   ec:	56 36       	B2 = SP;
    127   ee:	5f 36       	B3 = FP;
    128   f0:	00 37       	B0 = A0.X;
    129   f2:	09 37       	B1 = A0.W;
    130   f4:	12 37       	B2 = A1.X;
    131   f6:	1b 37       	B3 = A1.W;
    132   f8:	20 36       	L0 = R0;
    133   fa:	68 36       	L1 = P0;
    134   fc:	76 36       	L2 = SP;
    135   fe:	7f 36       	L3 = FP;
    136  100:	20 37       	L0 = A0.X;
    137  102:	29 37       	L1 = A0.W;
    138  104:	32 37       	L2 = A1.X;
    139  106:	3b 37       	L3 = A1.W;
    140  108:	81 34       	I0 = I1;
    141  10a:	8c 34       	I1 = M0;
    142  10c:	d1 34       	I2 = B1;
    143  10e:	dc 34       	I3 = L0;
    144  110:	a1 34       	M0 = I1;
    145  112:	ac 34       	M1 = M0;
    146  114:	f1 34       	M2 = B1;
    147  116:	fc 34       	M3 = L0;
    148  118:	81 36       	B0 = I1;
    149  11a:	8c 36       	B1 = M0;
    150  11c:	d1 36       	B2 = B1;
    151  11e:	dc 36       	B3 = L0;
    152  120:	a1 36       	L0 = I1;
    153  122:	ac 36       	L1 = M0;
    154  124:	f1 36       	L2 = B1;
    155  126:	fc 36       	L3 = L0;
    156  128:	c8 31       	R1 = USP;
    157  12a:	d0 33       	P2 = USP;
    158  12c:	f0 33       	SP = USP;
    159  12e:	f8 33       	FP = USP;
    160  130:	c0 39       	A0.X = USP;
    161  132:	d8 39       	A1.W = USP;
    162  134:	02 3e       	USP = R2;
    163  136:	44 3e       	USP = P4;
    164  138:	46 3e       	USP = SP;
    165  13a:	47 3e       	USP = FP;
    166  13c:	00 3f       	USP = A0.X;
    167  13e:	03 3f       	USP = A1.W;
    168  140:	06 31       	R0 = ASTAT;
    169  142:	c9 31       	R1 = SEQSTAT;
    170  144:	d2 31       	R2 = SYSCFG;
    171  146:	db 31       	R3 = RETI;
    172  148:	e4 31       	R4 = RETX;
    173  14a:	ed 31       	R5 = RETN;
    174  14c:	f6 31       	R6 = RETE;
    175  14e:	3f 31       	R7 = RETS;
    176  150:	80 31       	R0 = LC0;
    177  152:	8b 31       	R1 = LC1;
    178  154:	91 31       	R2 = LT0;
    179  156:	9c 31       	R3 = LT1;
    180  158:	a2 31       	R4 = LB0;
    181  15a:	ad 31       	R5 = LB1;
    182  15c:	b6 31       	R6 = CYCLES;
    183  15e:	bf 31       	R7 = CYCLES2;
    184  160:	30 38       	ASTAT = R0;
    185  162:	09 3e       	SEQSTAT = R1;
    186  164:	13 3e       	SYSCFG = R3;
    187  166:	1c 3e       	RETI = R4;
    188  168:	25 3e       	RETX = R5;
    189  16a:	2e 3e       	RETN = R6;
    190  16c:	37 3e       	RETE = R7;
    191  16e:	38 38       	RETS = R0;
    192  170:	01 3c       	LC0 = R1;
    193  172:	1a 3c       	LC1 = R2;
    194  174:	0b 3c       	LT0 = R3;
    195  176:	24 3c       	LT1 = R4;
    196  178:	15 3c       	LB0 = R5;
    197  17a:	2e 3c       	LB1 = R6;
    198  17c:	37 3c       	CYCLES = R7;
    199  17e:	38 3c       	CYCLES2 = R0;
    200  180:	70 38       	ASTAT = P0;
    201  182:	49 3e       	SEQSTAT = P1;
    202  184:	53 3e       	SYSCFG = P3;
    203  186:	5c 3e       	RETI = P4;
    204  188:	65 3e       	RETX = P5;
    205  18a:	6e 3e       	RETN = SP;
    206  18c:	77 3e       	RETE = FP;
    207  18e:	78 38       	RETS = P0;
    208  190:	41 3c       	LC0 = P1;
    209  192:	5a 3c       	LC1 = P2;
    210  194:	4b 3c       	LT0 = P3;
    211  196:	64 3c       	LT1 = P4;
    212  198:	55 3c       	LB0 = P5;
    213  19a:	6e 3c       	LB1 = SP;
    214  19c:	76 3c       	CYCLES = SP;
    215  19e:	78 3c       	CYCLES2 = P0;
    216  1a0:	08 c4 [0|3][0|f] c0 	A0 = A1;
    217  1a4:	08 c4 [0|3][0|f] e0 	A1 = A0;
    218  1a8:	09 c4 00 20 	A0 = R0;
    219  1ac:	09 c4 08 20 	A0 = R1;
    220  1b0:	09 c4 10 20 	A0 = R2;
    221  1b4:	09 c4 00 a0 	A1 = R0;
    222  1b8:	09 c4 08 a0 	A1 = R1;
    223  1bc:	09 c4 10 a0 	A1 = R2;
    224  1c0:	0b c0 00 38 	R0 = A0;
    225  1c4:	8b c0 80 38 	R2 = A0 \(FU\);
    226  1c8:	2b c1 00 39 	R4 = A0 \(ISS2\);
    227  1cc:	0f c0 00 18 	R1 = A1;
    228  1d0:	8f c0 80 18 	R3 = A1 \(FU\);
    229  1d4:	2f c1 00 19 	R5 = A1 \(ISS2\);
    230  1d8:	0f c0 00 38 	R1 = A1, R0 = A0;
    231  1dc:	8f c0 00 38 	R1 = A1, R0 = A0 \(FU\);
    232  1e0:	2f c1 80 39 	R7 = A1, R6 = A0 \(ISS2\);
    233  1e4:	0f c0 00 38 	R1 = A1, R0 = A0;
    234  1e8:	8f c0 80 38 	R3 = A1, R2 = A0 \(FU\);
    235  1ec:	2f c1 00 39 	R5 = A1, R4 = A0 \(ISS2\);
    236  1f0:	18 07       	IF CC R3 = R0;
    237  1f2:	10 07       	IF CC R2 = R0;
    238  1f4:	38 07       	IF CC R7 = R0;
    239  1f6:	52 07       	IF CC R2 = P2;
    240  1f8:	61 07       	IF CC R4 = P1;
    241  1fa:	40 07       	IF CC R0 = P0;
    242  1fc:	7c 07       	IF CC R7 = P4;
    243  1fe:	c2 07       	IF CC P0 = P2;
    244  200:	e5 07       	IF CC P4 = P5;
    245  202:	cb 07       	IF CC P1 = P3;
    246  204:	ec 07       	IF CC P5 = P4;
    247  206:	82 07       	IF CC P0 = R2;
    248  208:	a3 07       	IF CC P4 = R3;
    249  20a:	af 07       	IF CC P5 = R7;
    250  20c:	96 07       	IF CC P2 = R6;
    251  20e:	18 06       	IF !CC R3 = R0;
    252  210:	10 06       	IF !CC R2 = R0;
    253  212:	38 06       	IF !CC R7 = R0;
    254  214:	52 06       	IF !CC R2 = P2;
    255  216:	61 06       	IF !CC R4 = P1;
    256  218:	40 06       	IF !CC R0 = P0;
    257  21a:	7c 06       	IF !CC R7 = P4;
    258  21c:	c2 06       	IF !CC P0 = P2;
    259  21e:	e5 06       	IF !CC P4 = P5;
    260  220:	cb 06       	IF !CC P1 = P3;
    261  222:	ec 06       	IF !CC P5 = P4;
    262  224:	82 06       	IF !CC P0 = R2;
    263  226:	a3 06       	IF !CC P4 = R3;
    264  228:	af 06       	IF !CC P5 = R7;
    265  22a:	96 06       	IF !CC P2 = R6;
    266  22c:	c0 42       	R0 = R0.L \(Z\);
    267  22e:	ca 42       	R2 = R1.L \(Z\);
    268  230:	d1 42       	R1 = R2.L \(Z\);
    269  232:	f7 42       	R7 = R6.L \(Z\);
    270  234:	80 42       	R0 = R0.L \(X\);
    271  236:	8a 42       	R2 = R1.L \(X\);
    272  238:	91 42       	R1 = R2.L \(X\);
    273  23a:	b7 42       	R7 = R6.L \(X\);
    274  23c:	c0 42       	R0 = R0.L \(Z\);
    275  23e:	ca 42       	R2 = R1.L \(Z\);
    276  240:	d1 42       	R1 = R2.L \(Z\);
    277  242:	f7 42       	R7 = R6.L \(Z\);
    278  244:	09 c4 00 40 	A0.X = R0.L;
    279  248:	09 c4 08 40 	A0.X = R1.L;
    280  24c:	09 c4 00 c0 	A1.X = R0.L;
    281  250:	09 c4 08 c0 	A1.X = R1.L;
    282  254:	0a c4 3f 00 	R0.L = A0.X;
    283  258:	0a c4 3f 02 	R1.L = A0.X;
    284  25c:	0a c4 3f 0e 	R7.L = A0.X;
    285  260:	0a c4 3f 40 	R0.L = A1.X;
    286  264:	0a c4 3f 42 	R1.L = A1.X;
    287  268:	0a c4 3f 4e 	R7.L = A1.X;
    288  26c:	09 c4 00 00 	A0.L = R0.L;
    289  270:	09 c4 08 00 	A0.L = R1.L;
    290  274:	09 c4 30 00 	A0.L = R6.L;
    291  278:	09 c4 00 80 	A1.L = R0.L;
    292  27c:	09 c4 08 80 	A1.L = R1.L;
    293  280:	09 c4 30 80 	A1.L = R6.L;
    294  284:	29 c4 00 00 	A0.H = R0.H;
    295  288:	29 c4 08 00 	A0.H = R1.H;
    296  28c:	29 c4 30 00 	A0.H = R6.H;
    297  290:	29 c4 00 80 	A1.H = R0.H;
    298  294:	29 c4 08 80 	A1.H = R1.H;
    299  298:	29 c4 30 80 	A1.H = R6.H;
    300  29c:	03 c0 00 38 	R0.L = A0;
    301  2a0:	03 c0 40 38 	R1.L = A0;
    302  2a4:	83 c0 00 38 	R0.L = A0 \(FU\);
    303  2a8:	83 c0 40 38 	R1.L = A0 \(FU\);
    304  2ac:	03 c1 00 38 	R0.L = A0 \(IS\);
    305  2b0:	03 c1 40 38 	R1.L = A0 \(IS\);
    306  2b4:	83 c1 00 38 	R0.L = A0 \(IU\);
    307  2b8:	83 c1 40 38 	R1.L = A0 \(IU\);
    308  2bc:	43 c0 00 38 	R0.L = A0 \(T\);
    309  2c0:	43 c0 40 38 	R1.L = A0 \(T\);
    310  2c4:	23 c0 00 38 	R0.L = A0 \(S2RND\);
    311  2c8:	23 c0 40 38 	R1.L = A0 \(S2RND\);
    312  2cc:	23 c1 00 38 	R0.L = A0 \(ISS2\);
    313  2d0:	23 c1 40 38 	R1.L = A0 \(ISS2\);
    314  2d4:	63 c1 00 38 	R0.L = A0 \(IH\);
    315  2d8:	63 c1 40 38 	R1.L = A0 \(IH\);
    316  2dc:	07 c0 00 18 	R0.H = A1;
    317  2e0:	07 c0 40 18 	R1.H = A1;
    318  2e4:	87 c0 00 18 	R0.H = A1 \(FU\);
    319  2e8:	87 c0 40 18 	R1.H = A1 \(FU\);
    320  2ec:	07 c1 00 18 	R0.H = A1 \(IS\);
    321  2f0:	07 c1 40 18 	R1.H = A1 \(IS\);
    322  2f4:	87 c1 00 18 	R0.H = A1 \(IU\);
    323  2f8:	87 c1 40 18 	R1.H = A1 \(IU\);
    324  2fc:	47 c0 00 18 	R0.H = A1 \(T\);
    325  300:	47 c0 40 18 	R1.H = A1 \(T\);
    326  304:	27 c0 00 18 	R0.H = A1 \(S2RND\);
    327  308:	27 c0 40 18 	R1.H = A1 \(S2RND\);
    328  30c:	27 c1 00 18 	R0.H = A1 \(ISS2\);
    329  310:	27 c1 40 18 	R1.H = A1 \(ISS2\);
    330  314:	67 c1 00 18 	R0.H = A1 \(IH\);
    331  318:	67 c1 40 18 	R1.H = A1 \(IH\);
    332  31c:	07 c0 00 38 	R0.H = A1, R0.L = A0;
    333  320:	07 c0 40 38 	R1.H = A1, R1.L = A0;
    334  324:	87 c0 00 38 	R0.H = A1, R0.L = A0 \(FU\);
    335  328:	87 c0 40 38 	R1.H = A1, R1.L = A0 \(FU\);
    336  32c:	07 c1 00 38 	R0.H = A1, R0.L = A0 \(IS\);
    337  330:	07 c1 40 38 	R1.H = A1, R1.L = A0 \(IS\);
    338  334:	87 c1 00 38 	R0.H = A1, R0.L = A0 \(IU\);
    339  338:	87 c1 40 38 	R1.H = A1, R1.L = A0 \(IU\);
    340  33c:	47 c0 00 38 	R0.H = A1, R0.L = A0 \(T\);
    341  340:	47 c0 40 38 	R1.H = A1, R1.L = A0 \(T\);
    342  344:	27 c0 00 38 	R0.H = A1, R0.L = A0 \(S2RND\);
    343  348:	27 c0 40 38 	R1.H = A1, R1.L = A0 \(S2RND\);
    344  34c:	27 c1 00 38 	R0.H = A1, R0.L = A0 \(ISS2\);
    345  350:	27 c1 40 38 	R1.H = A1, R1.L = A0 \(ISS2\);
    346  354:	67 c1 00 38 	R0.H = A1, R0.L = A0 \(IH\);
    347  358:	67 c1 40 38 	R1.H = A1, R1.L = A0 \(IH\);
    348  35c:	07 c0 00 38 	R0.H = A1, R0.L = A0;
    349  360:	07 c0 40 38 	R1.H = A1, R1.L = A0;
    350  364:	87 c0 00 38 	R0.H = A1, R0.L = A0 \(FU\);
    351  368:	87 c0 40 38 	R1.H = A1, R1.L = A0 \(FU\);
    352  36c:	07 c1 00 38 	R0.H = A1, R0.L = A0 \(IS\);
    353  370:	07 c1 40 38 	R1.H = A1, R1.L = A0 \(IS\);
    354  374:	87 c1 00 38 	R0.H = A1, R0.L = A0 \(IU\);
    355  378:	87 c1 40 38 	R1.H = A1, R1.L = A0 \(IU\);
    356  37c:	47 c0 00 38 	R0.H = A1, R0.L = A0 \(T\);
    357  380:	47 c0 40 38 	R1.H = A1, R1.L = A0 \(T\);
    358  384:	27 c0 00 38 	R0.H = A1, R0.L = A0 \(S2RND\);
    359  388:	27 c0 40 38 	R1.H = A1, R1.L = A0 \(S2RND\);
    360  38c:	27 c1 00 38 	R0.H = A1, R0.L = A0 \(ISS2\);
    361  390:	27 c1 40 38 	R1.H = A1, R1.L = A0 \(ISS2\);
    362  394:	67 c1 00 38 	R0.H = A1, R0.L = A0 \(IH\);
    363  398:	67 c1 40 38 	R1.H = A1, R1.L = A0 \(IH\);
    364  39c:	48 43       	R0 = R1.B \(Z\);
    365  39e:	50 43       	R0 = R2.B \(Z\);
    366  3a0:	4f 43       	R7 = R1.B \(Z\);
    367  3a2:	57 43       	R7 = R2.B \(Z\);
    368  3a4:	08 43       	R0 = R1.B \(X\);
    369  3a6:	10 43       	R0 = R2.B \(X\);
    370  3a8:	0f 43       	R7 = R1.B \(X\);
    371  3aa:	17 43       	R7 = R2.B \(X\);
    372