Home | History | Annotate | Download | only in mips
      1 #objdump: -dr -mmips:4000
      2 #as: -mips3 -mtune=r4000 -mabi=o64
      3 #name: mips16
      4 
      5 # Test the mips16 instruction set.
      6 
      7 .*: +file format .*mips.*
      8 
      9 Disassembly of section .text:
     10 
     11 0+000000 <data1>:
     12    0:	00000000 	nop
     13 
     14 0+000004 <insns1>:
     15    4:	3b40      	ld	v0,0\(v1\)
     16    6:	f000 3b41 	ld	v0,1\(v1\)
     17    a:	f000 3b42 	ld	v0,2\(v1\)
     18    e:	f000 3b43 	ld	v0,3\(v1\)
     19   12:	f000 3b44 	ld	v0,4\(v1\)
     20   16:	3b41      	ld	v0,8\(v1\)
     21   18:	3b42      	ld	v0,16\(v1\)
     22   1a:	3b44      	ld	v0,32\(v1\)
     23   1c:	3b48      	ld	v0,64\(v1\)
     24   1e:	3b50      	ld	v0,128\(v1\)
     25   20:	f100 3b40 	ld	v0,256\(v1\)
     26   24:	f200 3b40 	ld	v0,512\(v1\)
     27   28:	f400 3b40 	ld	v0,1024\(v1\)
     28   2c:	f001 3b40 	ld	v0,2048\(v1\)
     29   30:	f7ff 3b5f 	ld	v0,-1\(v1\)
     30   34:	f7ff 3b5e 	ld	v0,-2\(v1\)
     31   38:	f7ff 3b5d 	ld	v0,-3\(v1\)
     32   3c:	f7ff 3b5c 	ld	v0,-4\(v1\)
     33   40:	f7ff 3b58 	ld	v0,-8\(v1\)
     34   44:	f7ff 3b50 	ld	v0,-16\(v1\)
     35   48:	f7ff 3b40 	ld	v0,-32\(v1\)
     36   4c:	f7df 3b40 	ld	v0,-64\(v1\)
     37   50:	f79f 3b40 	ld	v0,-128\(v1\)
     38   54:	f71f 3b40 	ld	v0,-256\(v1\)
     39   58:	f61f 3b40 	ld	v0,-512\(v1\)
     40   5c:	f41f 3b40 	ld	v0,-1024\(v1\)
     41   60:	f01f 3b40 	ld	v0,-2048\(v1\)
     42   64:	f7bf fc40 	ld	v0,0 <data1>
     43   68:	f6a0 fc54 	ld	v0,71c <data2>
     44   6c:	f001 fc40 	ld	v0,868 <bar>
     45   70:	f0c1 fc40 	ld	v0,930 <quux>
     46   74:	f840      	ld	v0,0\(sp\)
     47   76:	f000 f841 	ld	v0,1\(sp\)
     48   7a:	f000 f842 	ld	v0,2\(sp\)
     49   7e:	f000 f843 	ld	v0,3\(sp\)
     50   82:	f000 f844 	ld	v0,4\(sp\)
     51   86:	f841      	ld	v0,8\(sp\)
     52   88:	f842      	ld	v0,16\(sp\)
     53   8a:	f844      	ld	v0,32\(sp\)
     54   8c:	f848      	ld	v0,64\(sp\)
     55   8e:	f850      	ld	v0,128\(sp\)
     56   90:	f100 f840 	ld	v0,256\(sp\)
     57   94:	f200 f840 	ld	v0,512\(sp\)
     58   98:	f400 f840 	ld	v0,1024\(sp\)
     59   9c:	f001 f840 	ld	v0,2048\(sp\)
     60   a0:	f7ff f85f 	ld	v0,-1\(sp\)
     61   a4:	f7ff f85e 	ld	v0,-2\(sp\)
     62   a8:	f7ff f85d 	ld	v0,-3\(sp\)
     63   ac:	f7ff f85c 	ld	v0,-4\(sp\)
     64   b0:	f7ff f858 	ld	v0,-8\(sp\)
     65   b4:	f7ff f850 	ld	v0,-16\(sp\)
     66   b8:	f7ff f840 	ld	v0,-32\(sp\)
     67   bc:	f7df f840 	ld	v0,-64\(sp\)
     68   c0:	f79f f840 	ld	v0,-128\(sp\)
     69   c4:	f71f f840 	ld	v0,-256\(sp\)
     70   c8:	f61f f840 	ld	v0,-512\(sp\)
     71   cc:	f41f f840 	ld	v0,-1024\(sp\)
     72   d0:	f01f f840 	ld	v0,-2048\(sp\)
     73   d4:	bb40      	lwu	v0,0\(v1\)
     74   d6:	f000 bb41 	lwu	v0,1\(v1\)
     75   da:	f000 bb42 	lwu	v0,2\(v1\)
     76   de:	f000 bb43 	lwu	v0,3\(v1\)
     77   e2:	bb41      	lwu	v0,4\(v1\)
     78   e4:	bb42      	lwu	v0,8\(v1\)
     79   e6:	bb44      	lwu	v0,16\(v1\)
     80   e8:	bb48      	lwu	v0,32\(v1\)
     81   ea:	bb50      	lwu	v0,64\(v1\)
     82   ec:	f080 bb40 	lwu	v0,128\(v1\)
     83   f0:	f100 bb40 	lwu	v0,256\(v1\)
     84   f4:	f200 bb40 	lwu	v0,512\(v1\)
     85   f8:	f400 bb40 	lwu	v0,1024\(v1\)
     86   fc:	f001 bb40 	lwu	v0,2048\(v1\)
     87  100:	f7ff bb5f 	lwu	v0,-1\(v1\)
     88  104:	f7ff bb5e 	lwu	v0,-2\(v1\)
     89  108:	f7ff bb5d 	lwu	v0,-3\(v1\)
     90  10c:	f7ff bb5c 	lwu	v0,-4\(v1\)
     91  110:	f7ff bb58 	lwu	v0,-8\(v1\)
     92  114:	f7ff bb50 	lwu	v0,-16\(v1\)
     93  118:	f7ff bb40 	lwu	v0,-32\(v1\)
     94  11c:	f7df bb40 	lwu	v0,-64\(v1\)
     95  120:	f79f bb40 	lwu	v0,-128\(v1\)
     96  124:	f71f bb40 	lwu	v0,-256\(v1\)
     97  128:	f61f bb40 	lwu	v0,-512\(v1\)
     98  12c:	f41f bb40 	lwu	v0,-1024\(v1\)
     99  130:	f01f bb40 	lwu	v0,-2048\(v1\)
    100  134:	9b40      	lw	v0,0\(v1\)
    101  136:	f000 9b41 	lw	v0,1\(v1\)
    102  13a:	f000 9b42 	lw	v0,2\(v1\)
    103  13e:	f000 9b43 	lw	v0,3\(v1\)
    104  142:	9b41      	lw	v0,4\(v1\)
    105  144:	9b42      	lw	v0,8\(v1\)
    106  146:	9b44      	lw	v0,16\(v1\)
    107  148:	9b48      	lw	v0,32\(v1\)
    108  14a:	9b50      	lw	v0,64\(v1\)
    109  14c:	f080 9b40 	lw	v0,128\(v1\)
    110  150:	f100 9b40 	lw	v0,256\(v1\)
    111  154:	f200 9b40 	lw	v0,512\(v1\)
    112  158:	f400 9b40 	lw	v0,1024\(v1\)
    113  15c:	f001 9b40 	lw	v0,2048\(v1\)
    114  160:	f7ff 9b5f 	lw	v0,-1\(v1\)
    115  164:	f7ff 9b5e 	lw	v0,-2\(v1\)
    116  168:	f7ff 9b5d 	lw	v0,-3\(v1\)
    117  16c:	f7ff 9b5c 	lw	v0,-4\(v1\)
    118  170:	f7ff 9b58 	lw	v0,-8\(v1\)
    119  174:	f7ff 9b50 	lw	v0,-16\(v1\)
    120  178:	f7ff 9b40 	lw	v0,-32\(v1\)
    121  17c:	f7df 9b40 	lw	v0,-64\(v1\)
    122  180:	f79f 9b40 	lw	v0,-128\(v1\)
    123  184:	f71f 9b40 	lw	v0,-256\(v1\)
    124  188:	f61f 9b40 	lw	v0,-512\(v1\)
    125  18c:	f41f 9b40 	lw	v0,-1024\(v1\)
    126  190:	f01f 9b40 	lw	v0,-2048\(v1\)
    127  194:	f67f b20c 	lw	v0,0 <data1>
    128  198:	f580 b204 	lw	v0,71c <data2>
    129  19c:	f6c0 b20c 	lw	v0,868 <bar>
    130  1a0:	f780 b210 	lw	v0,930 <quux>
    131  1a4:	9200      	lw	v0,0\(sp\)
    132  1a6:	f000 9201 	lw	v0,1\(sp\)
    133  1aa:	f000 9202 	lw	v0,2\(sp\)
    134  1ae:	f000 9203 	lw	v0,3\(sp\)
    135  1b2:	9201      	lw	v0,4\(sp\)
    136  1b4:	9202      	lw	v0,8\(sp\)
    137  1b6:	9204      	lw	v0,16\(sp\)
    138  1b8:	9208      	lw	v0,32\(sp\)
    139  1ba:	9210      	lw	v0,64\(sp\)
    140  1bc:	9220      	lw	v0,128\(sp\)
    141  1be:	9240      	lw	v0,256\(sp\)
    142  1c0:	9280      	lw	v0,512\(sp\)
    143  1c2:	f400 9200 	lw	v0,1024\(sp\)
    144  1c6:	f001 9200 	lw	v0,2048\(sp\)
    145  1ca:	f7ff 921f 	lw	v0,-1\(sp\)
    146  1ce:	f7ff 921e 	lw	v0,-2\(sp\)
    147  1d2:	f7ff 921d 	lw	v0,-3\(sp\)
    148  1d6:	f7ff 921c 	lw	v0,-4\(sp\)
    149  1da:	f7ff 9218 	lw	v0,-8\(sp\)
    150  1de:	f7ff 9210 	lw	v0,-16\(sp\)
    151  1e2:	f7ff 9200 	lw	v0,-32\(sp\)
    152  1e6:	f7df 9200 	lw	v0,-64\(sp\)
    153  1ea:	f79f 9200 	lw	v0,-128\(sp\)
    154  1ee:	f71f 9200 	lw	v0,-256\(sp\)
    155  1f2:	f61f 9200 	lw	v0,-512\(sp\)
    156  1f6:	f41f 9200 	lw	v0,-1024\(sp\)
    157  1fa:	f01f 9200 	lw	v0,-2048\(sp\)
    158  1fe:	8b40      	lh	v0,0\(v1\)
    159  200:	f000 8b41 	lh	v0,1\(v1\)
    160  204:	8b41      	lh	v0,2\(v1\)
    161  206:	f000 8b43 	lh	v0,3\(v1\)
    162  20a:	8b42      	lh	v0,4\(v1\)
    163  20c:	8b44      	lh	v0,8\(v1\)
    164  20e:	8b48      	lh	v0,16\(v1\)
    165  210:	8b50      	lh	v0,32\(v1\)
    166  212:	f040 8b40 	lh	v0,64\(v1\)
    167  216:	f080 8b40 	lh	v0,128\(v1\)
    168  21a:	f100 8b40 	lh	v0,256\(v1\)
    169  21e:	f200 8b40 	lh	v0,512\(v1\)
    170  222:	f400 8b40 	lh	v0,1024\(v1\)
    171  226:	f001 8b40 	lh	v0,2048\(v1\)
    172  22a:	f7ff 8b5f 	lh	v0,-1\(v1\)
    173  22e:	f7ff 8b5e 	lh	v0,-2\(v1\)
    174  232:	f7ff 8b5d 	lh	v0,-3\(v1\)
    175  236:	f7ff 8b5c 	lh	v0,-4\(v1\)
    176  23a:	f7ff 8b58 	lh	v0,-8\(v1\)
    177  23e:	f7ff 8b50 	lh	v0,-16\(v1\)
    178  242:	f7ff 8b40 	lh	v0,-32\(v1\)
    179  246:	f7df 8b40 	lh	v0,-64\(v1\)
    180  24a:	f79f 8b40 	lh	v0,-128\(v1\)
    181  24e:	f71f 8b40 	lh	v0,-256\(v1\)
    182  252:	f61f 8b40 	lh	v0,-512\(v1\)
    183  256:	f41f 8b40 	lh	v0,-1024\(v1\)
    184  25a:	f01f 8b40 	lh	v0,-2048\(v1\)
    185  25e:	ab40      	lhu	v0,0\(v1\)
    186  260:	f000 ab41 	lhu	v0,1\(v1\)
    187  264:	ab41      	lhu	v0,2\(v1\)
    188  266:	f000 ab43 	lhu	v0,3\(v1\)
    189  26a:	ab42      	lhu	v0,4\(v1\)
    190  26c:	ab44      	lhu	v0,8\(v1\)
    191  26e:	ab48      	lhu	v0,16\(v1\)
    192  270:	ab50      	lhu	v0,32\(v1\)
    193  272:	f040 ab40 	lhu	v0,64\(v1\)
    194  276:	f080 ab40 	lhu	v0,128\(v1\)
    195  27a:	f100 ab40 	lhu	v0,256\(v1\)
    196  27e:	f200 ab40 	lhu	v0,512\(v1\)
    197  282:	f400 ab40 	lhu	v0,1024\(v1\)
    198  286:	f001 ab40 	lhu	v0,2048\(v1\)
    199  28a:	f7ff ab5f 	lhu	v0,-1\(v1\)
    200  28e:	f7ff ab5e 	lhu	v0,-2\(v1\)
    201  292:	f7ff ab5d 	lhu	v0,-3\(v1\)
    202  296:	f7ff ab5c 	lhu	v0,-4\(v1\)
    203  29a:	f7ff ab58 	lhu	v0,-8\(v1\)
    204  29e:	f7ff ab50 	lhu	v0,-16\(v1\)
    205  2a2:	f7ff ab40 	lhu	v0,-32\(v1\)
    206  2a6:	f7df ab40 	lhu	v0,-64\(v1\)
    207  2aa:	f79f ab40 	lhu	v0,-128\(v1\)
    208  2ae:	f71f ab40 	lhu	v0,-256\(v1\)
    209  2b2:	f61f ab40 	lhu	v0,-512\(v1\)
    210  2b6:	f41f ab40 	lhu	v0,-1024\(v1\)
    211  2ba:	f01f ab40 	lhu	v0,-2048\(v1\)
    212  2be:	8340      	lb	v0,0\(v1\)
    213  2c0:	8341      	lb	v0,1\(v1\)
    214  2c2:	8342      	lb	v0,2\(v1\)
    215  2c4:	8343      	lb	v0,3\(v1\)
    216  2c6:	8344      	lb	v0,4\(v1\)
    217  2c8:	8348      	lb	v0,8\(v1\)
    218  2ca:	8350      	lb	v0,16\(v1\)
    219  2cc:	f020 8340 	lb	v0,32\(v1\)
    220  2d0:	f040 8340 	lb	v0,64\(v1\)
    221  2d4:	f080 8340 	lb	v0,128\(v1\)
    222  2d8:	f100 8340 	lb	v0,256\(v1\)
    223  2dc:	f200 8340 	lb	v0,512\(v1\)
    224  2e0:	f400 8340 	lb	v0,1024\(v1\)
    225  2e4:	f001 8340 	lb	v0,2048\(v1\)
    226  2e8:	f7ff 835f 	lb	v0,-1\(v1\)
    227  2ec:	f7ff 835e 	lb	v0,-2\(v1\)
    228  2f0:	f7ff 835d 	lb	v0,-3\(v1\)
    229  2f4:	f7ff 835c 	lb	v0,-4\(v1\)
    230  2f8:	f7ff 8358 	lb	v0,-8\(v1\)
    231  2fc:	f7ff 8350 	lb	v0,-16\(v1\)
    232  300:	f7ff 8340 	lb	v0,-32\(v1\)
    233  304:	f7df 8340 	lb	v0,-64\(v1\)
    234  308:	f79f 8340 	lb	v0,-128\(v1\)
    235  30c:	f71f 8340 	lb	v0,-256\(v1\)
    236  310:	f61f 8340 	lb	v0,-512\(v1\)
    237  314:	f41f 8340 	lb	v0,-1024\(v1\)
    238  318:	f01f 8340 	lb	v0,-2048\(v1\)
    239  31c:	a340      	lbu	v0,0\(v1\)
    240  31e:	a341      	lbu	v0,1\(v1\)
    241  320:	a342      	lbu	v0,2\(v1\)
    242  322:	a343      	lbu	v0,3\(v1\)
    243  324:	a344      	lbu	v0,4\(v1\)
    244  326:	a348      	lbu	v0,8\(v1\)
    245  328:	a350      	lbu	v0,16\(v1\)
    246  32a:	f020 a340 	lbu	v0,32\(v1\)
    247  32e:	f040 a340 	lbu	v0,64\(v1\)
    248  332:	f080 a340 	lbu	v0,128\(v1\)
    249  336:	f100 a340 	lbu	v0,256\(v1\)
    250  33a:	f200 a340 	lbu	v0,512\(v1\)
    251  33e:	f400 a340 	lbu	v0,1024\(v1\)
    252  342:	f001 a340 	lbu	v0,2048\(v1\)
    253  346:	f7ff a35f 	lbu	v0,-1\(v1\)
    254  34a:	f7ff a35e 	lbu	v0,-2\(v1\)
    255  34e:	f7ff a35d 	lbu	v0,-3\(v1\)
    256  352:	f7ff a35c 	lbu	v0,-4\(v1\)
    257  356:	f7ff a358 	lbu	v0,-8\(v1\)
    258  35a:	f7ff a350 	lbu	v0,-16\(v1\)
    259  35e:	f7ff a340 	lbu	v0,-32\(v1\)
    260  362:	f7df a340 	lbu	v0,-64\(v1\)
    261  366:	f79f a340 	lbu	v0,-128\(v1\)
    262  36a:	f71f a340 	lbu	v0,-256\(v1\)
    263  36e:	f61f a340 	lbu	v0,-512\(v1\)
    264  372:	f41f a340 	lbu	v0,-1024\(v1\)
    265  376:	f01f a340 	lbu	v0,-2048\(v1\)
    266  37a:	7b40      	sd	v0,0\(v1\)
    267  37c:	f000 7b41 	sd	v0,1\(v1\)
    268  380:	f000 7b42 	sd	v0,2\(v1\)
    269  384:	f000 7b43 	sd	v0,3\(v1\)
    270  388:	f000 7b44 	sd	v0,4\(v1\)
    271  38c:	7b41      	sd	v0,8\(v1\)
    272  38e:	7b42      	sd	v0,16\(v1\)
    273  390:	7b44      	sd	v0,32\(v1\)
    274  392:	7b48      	sd	v0,64\(v1\)
    275  394:	7b50      	sd	v0,128\(v1\)
    276  396:	f100 7b40 	sd	v0,256\(v1\)
    277  39a:	f200 7b40 	sd	v0,512\(v1\)
    278  39e:	f400 7b40 	sd	v0,1024\(v1\)
    279  3a2:	f001 7b40 	sd	v0,2048\(v1\)
    280  3a6:	f7ff 7b5f 	sd	v0,-1\(v1\)
    281  3aa:	f7ff 7b5e 	sd	v0,-2\(v1\)
    282  3ae:	f7ff 7b5d 	sd	v0,-3\(v1\)
    283  3b2:	f7ff 7b5c 	sd	v0,-4\(v1\)
    284  3b6:	f7ff 7b58 	sd	v0,-8\(v1\)
    285  3ba:	f7ff 7b50 	sd	v0,-16\(v1\)
    286  3be:	f7ff 7b40 	sd	v0,-32\(v1\)
    287  3c2:	f7df 7b40 	sd	v0,-64\(v1\)
    288  3c6:	f79f 7b40 	sd	v0,-128\(v1\)
    289  3ca:	f71f 7b40 	sd	v0,-256\(v1\)
    290  3ce:	f61f 7b40 	sd	v0,-512\(v1\)
    291  3d2:	f41f 7b40 	sd	v0,-1024\(v1\)
    292  3d6:	f01f 7b40 	sd	v0,-2048\(v1\)
    293  3da:	f940      	sd	v0,0\(sp\)
    294  3dc:	f000 f941 	sd	v0,1\(sp\)
    295  3e0:	f000 f942 	sd	v0,2\(sp\)
    296  3e4:	f000 f943 	sd	v0,3\(sp\)
    297  3e8:	f000 f944 	sd	v0,4\(sp\)
    298  3ec:	f941      	sd	v0,8\(sp\)
    299  3ee:	f942      	sd	v0,16\(sp\)
    300  3f0:	f944      	sd	v0,32\(sp\)
    301  3f2:	f948      	sd	v0,64\(sp\)
    302  3f4:	f950      	sd	v0,128\(sp\)
    303  3f6:	f100 f940 	sd	v0,256\(sp\)
    304  3fa:	f200 f940 	sd	v0,512\(sp\)
    305  3fe:	f400 f940 	sd	v0,1024\(sp\)
    306  402:	f001 f940 	sd	v0,2048\(sp\)
    307  406:	f7ff f95f 	sd	v0,-1\(sp\)
    308  40a:	f7ff f95e 	sd	v0,-2\(sp\)
    309  40e:	f7ff f95d 	sd	v0,-3\(sp\)
    310  412:	f7ff f95c 	sd	v0,-4\(sp\)
    311  416:	f7ff f958 	sd	v0,-8\(sp\)
    312  41a:	f7ff f950 	sd	v0,-16\(sp\)
    313  41e:	f7ff f940 	sd	v0,-32\(sp\)
    314  422:	f7df f940 	sd	v0,-64\(sp\)
    315  426:	f79f f940 	sd	v0,-128\(sp\)
    316  42a:	f71f f940 	sd	v0,-256\(sp\)
    317  42e:	f61f f940 	sd	v0,-512\(sp\)
    318  432:	f41f f940 	sd	v0,-1024\(sp\)
    319  436:	f01f f940 	sd	v0,-2048\(sp\)
    320  43a:	fa00      	sd	ra,0\(sp\)
    321  43c:	f000 fa01 	sd	ra,1\(sp\)
    322  440:	f000 fa02 	sd	ra,2\(sp\)
    323  444:	f000 fa03 	sd	ra,3\(sp\)
    324  448:	f000 fa04 	sd	ra,4\(sp\)
    325  44c:	fa01      	sd	ra,8\(sp\)
    326  44e:	fa02      	sd	ra,16\(sp\)
    327  450:	fa04      	sd	ra,32\(sp\)
    328  452:	fa08      	sd	ra,64\(sp\)
    329  454:	fa10      	sd	ra,128\(sp\)
    330  456:	fa20      	sd	ra,256\(sp\)
    331  458:	fa40      	sd	ra,512\(sp\)
    332  45a:	fa80      	sd	ra,1024\(sp\)
    333  45c:	f001 fa00 	sd	ra,2048\(sp\)
    334  460:	f7ff fa1f 	sd	ra,-1\(sp\)
    335  464:	f7ff fa1e 	sd	ra,-2\(sp\)
    336  468:	f7ff fa1d 	sd	ra,-3\(sp\)
    337  46c:	f7ff fa1c 	sd	ra,-4\(sp\)
    338  470:	f7ff fa18 	sd	ra,-8\(sp\)
    339  474:	f7ff fa10 	sd	ra,-16\(sp\)
    340  478:	f7ff fa00 	sd	ra,-32\(sp\)
    341  47c:	f7df fa00 	sd	ra,-64\(sp\)
    342  480:	f79f fa00 	sd	ra,-128\(sp\)
    343  484:	f71f fa00 	sd	ra,-256\(sp\)
    344  488:	f61f fa00 	sd	ra,-512\(sp\)
    345  48c:	f41f fa00 	sd	ra,-1024\(sp\)
    346  490:	f01f fa00 	sd	ra,-2048\(sp\)
    347  494:	db40      	sw	v0,0\(v1\)
    348  496:	f000 db41 	sw	v0,1\(v1\)
    349  49a:	f000 db42 	sw	v0,2\(v1\)
    350  49e:	f000 db43 	sw	v0,3\(v1\)
    351  4a2:	db41      	sw	v0,4\(v1\)
    352  4a4:	db42      	sw	v0,8\(v1\)
    353  4a6:	db44      	sw	v0,16\(v1\)
    354  4a8:	db48      	sw	v0,32\(v1\)
    355  4aa:	db50      	sw	v0,64\(v1\)
    356  4ac:	f080 db40 	sw	v0,128\(v1\)
    357  4b0:	f100 db40 	sw	v0,256\(v1\)
    358  4b4:	f200 db40 	sw	v0,512\(v1\)
    359  4b8:	f400 db40 	sw	v0,1024\(v1\)
    360  4bc:	f001 db40 	sw	v0,2048\(v1\)
    361  4c0:	f7ff db5f 	sw	v0,-1\(v1\)
    362  4c4:	f7ff db5e 	sw	v0,-2\(v1\)
    363  4c8:	f7ff db5d 	sw	v0,-3\(v1\)
    364  4cc:	f7ff db5c 	sw	v0,-4\(v1\)
    365  4d0:	f7ff db58 	sw	v0,-8\(v1\)
    366  4d4:	f7ff db50 	sw	v0,-16\(v1\)
    367  4d8:	f7ff db40 	sw	v0,-32\(v1\)
    368  4dc:	f7df db40 	sw	v0,-64\(v1\)
    369  4e0:	f79f db40 	sw	v0,-128\(v1\)
    370  4e4:	f71f db40 	sw	v0,-256\(v1\)
    371  4e8:	f61f db40 	sw	v0,-512\(v1\)
    372  4ec:	f41f db40 	sw	v0,-1024\(v1\)
    373  4f0:	f01f db40 	sw	v0,-2048\(v1\)
    374  4f4:	d200      	sw	v0,0\(sp\)
    375  4f6:	f000 d201 	sw	v0,1\(sp\)
    376  4fa:	f000 d202 	sw	v0,2\(sp\)
    377  4fe:	f000 d203 	sw	v0,3\(sp\)
    378  502:	d201      	sw	v0,4\(sp\)
    379  504:	d202      	sw	v0,8\(sp\)
    380  506:	d204      	sw	v0,16\(sp\)
    381  508:	d208      	sw	v0,32\(sp\)
    382  50a:	d210      	sw	v0,64\(sp\)
    383  50c:	d220      	sw	v0,128\(sp\)
    384  50e:	d240      	sw	v0,256\(sp\)
    385  510:	d280      	sw	v0,512\(sp\)
    386  512:	f400 d200 	sw	v0,1024\(sp\)
    387  516:	f001 d200 	sw	v0,2048\(sp\)
    388  51a:	f7ff d21f 	sw	v0,-1\(sp\)
    389  51e:	f7ff d21e 	sw	v0,-2\(sp\)
    390  522:	f7ff d21d 	sw	v0,-3\(sp\)
    391  526:	f7ff d21c 	sw	v0,-4\(sp\)
    392  52a:	f7ff d218 	sw	v0,-8\(sp\)
    393  52e:	f7ff d210 	sw	v0,-16\(sp\)
    394  532:	f7ff d200 	sw	v0,-32\(sp\)
    395  536:	f7df d200 	sw	v0,-64\(sp\)
    396  53a:	f79f d200 	sw	v0,-128\(sp\)
    397  53e:	f71f d200 	sw	v0,-256\(sp\)
    398  542:	f61f d200 	sw	v0,-512\(sp\)
    399  546:	f41f d200 	sw	v0,-1024\(sp\)
    400  54a:	f01f d200 	sw	v0,-2048\(sp\)
    401  54e:	6200      	sw	ra,0\(sp\)
    402  550:	f000 6201 	sw	ra,1\(sp\)
    403  554:	f000 6202 	sw	ra,2\(sp\)
    404  558:	f000 6203 	sw	ra,3\(sp\)
    405  55c:	6201      	sw	ra,4\(sp\)
    406  55e:	6202      	sw	ra,8\(sp\)
    407  560:	6204      	sw	ra,16\(sp\)
    408  562:	6208      	sw	ra,32\(sp\)
    409  564:	6210      	sw	ra,64\(sp\)
    410  566:	6220      	sw	ra,128\(sp\)
    411  568:	6240      	sw	ra,256\(sp\)
    412  56a:	6280      	sw	ra,512\(sp\)
    413  56c:	f400 6200 	sw	ra,1024\(sp\)
    414  570:	f001 6200 	sw	ra,2048\(sp\)
    415  574:	f7ff 621f 	sw	ra,-1\(sp\)
    416  578:	f7ff 621e 	sw	ra,-2\(sp\)
    417  57c:	f7ff 621d 	sw	ra,-3\(sp\)
    418  580:	f7ff 621c 	sw	ra,-4\(sp\)
    419  584:	f7ff 6218 	sw	ra,-8\(sp\)
    420  588:	f7ff 6210 	sw	ra,-16\(sp\)
    421  58c:	f7ff 6200 	sw	ra,-32\(sp\)
    422  590:	f7df 6200 	sw	ra,-64\(sp\)
    423  594:	f79f 6200 	sw	ra,-128\(sp\)
    424  598:	f71f 6200 	sw	ra,-256\(sp\)
    425  59c:	f61f 6200 	sw	ra,-512\(sp\)
    426  5a0:	f41f 6200 	sw	ra,-1024\(sp\)
    427  5a4:	f01f 6200 	sw	ra,-2048\(sp\)
    428  5a8:	cb40      	sh	v0,0\(v1\)
    429  5aa:	f000 cb41 	sh	v0,1\(v1\)
    430  5ae:	cb41      	sh	v0,2\(v1\)
    431  5b0:	f000 cb43 	sh	v0,3\(v1\)
    432  5b4:	cb42      	sh	v0,4\(v1\)
    433  5b6:	cb44      	sh	v0,8\(v1\)
    434  5b8:	cb48      	sh	v0,16\(v1\)
    435  5ba:	cb50      	sh	v0,32\(v1\)
    436  5bc:	f040 cb40 	sh	v0,64\(v1\)
    437  5c0:	f080 cb40 	sh	v0,128\(v1\)
    438  5c4:	f100 cb40 	sh	v0,256\(v1\)
    439  5c8:	f200 cb40 	sh	v0,512\(v1\)
    440  5cc:	f400 cb40 	sh	v0,1024\(v1\)
    441  5d0:	f001 cb40 	sh	v0,2048\(v1\)
    442  5d4:	f7ff cb5f 	sh	v0,-1\(v1\)
    443  5d8:	f7ff cb5e 	sh	v0,-2\(v1\)
    444  5dc:	f7ff cb5d 	sh	v0,-3\(v1\)
    445  5e0:	f7ff cb5c 	sh	v0,-4\(v1\)
    446  5e4:	f7ff cb58 	sh	v0,-8\(v1\)
    447  5e8:	f7ff cb50 	sh	v0,-16\(v1\)
    448  5ec:	f7ff cb40 	sh	v0,-32\(v1\)
    449  5f0:	f7df cb40 	sh	v0,-64\(v1\)
    450  5f4:	f79f cb40 	sh	v0,-128\(v1\)
    451  5f8:	f71f cb40 	sh	v0,-256\(v1\)
    452  5fc:	f61f cb40 	sh	v0,-512\(v1\)
    453  600:	f41f cb40 	sh	v0,-1024\(v1\)
    454  604:	f01f cb40 	sh	v0,-2048\(v1\)
    455  608:	c340      	sb	v0,0\(v1\)
    456  60a:	c341      	sb	v0,1\(v1\)
    457  60c:	c342      	sb	v0,2\(v1\)
    458  60e:	c343      	sb	v0,3\(v1\)
    459  610:	c344      	sb	v0,4\(v1\)
    460  612:	c348      	sb	v0,8\(v1\)
    461  614:	c350      	sb	v0,16\(v1\)
    462  616:	f020 c340 	sb	v0,32\(v1\)
    463  61a:	f040 c340 	sb	v0,64\(v1\)
    464  61e:	f080 c340 	sb	v0,128\(v1\)
    465  622:	f100 c340 	sb	v0,256\(v1\)
    466  626:	f200 c340 	sb	v0,512\(v1\)
    467  62a:	f400 c340 	sb	v0,1024\(v1\)
    468  62e:	f001 c340 	sb	v0,2048\(v1\)
    469  632:	f7ff c35f 	sb	v0,-1\(v1\)
    470  636:	f7ff c35e 	sb	v0,-2\(v1\)
    471  63a:	f7ff c35d 	sb	v0,-3\(v1\)
    472  63e:	f7ff c35c 	sb	v0,-4\(v1\)
    473  642:	f7ff c358 	sb	v0,-8\(v1\)
    474  646:	f7ff c350 	sb	v0,-16\(v1\)
    475  64a:	f7ff c340 	sb	v0,-32\(v1\)
    476  64e:	f7df c340 	sb	v0,-64\(v1\)
    477  652:	f79f c340 	sb	v0,-128\(v1\)
    478  656:	f71f c340 	sb	v0,-256\(v1\)
    479  65a:	f61f c340 	sb	v0,-512\(v1\)
    480  65e:	f41f c340 	sb	v0,-1024\(v1\)
    481  662:	f01f c340 	sb	v0,-2048\(v1\)
    482  666:	6a00      	li	v0,0
    483  668:	6a01      	li	v0,1
    484  66a:	f100 6a00 	li	v0,256
    485  66e:	675e      	move	v0,s8
    486  670:	6592      	move	s4,v0
    487  672:	4350      	daddiu	v0,v1,0
    488  674:	4351      	daddiu	v0,v1,1
    489  676:	435f      	daddiu	v0,v1,-1
    490  678:	f010 4350 	daddiu	v0,v1,16
    491  67c:	f7ff 4350 	daddiu	v0,v1,-16
    492  680:	e388      	daddu	v0,v1,a0
    493  682:	fd40      	daddiu	v0,0
    494  684:	fd41      	daddiu	v0,1
    495  686:	fd5f      	daddiu	v0,-1
    496  688:	f020 fd40 	daddiu	v0,32
    497  68c:	f7ff fd40 	daddiu	v0,-32
    498  690:	f080 fd40 	daddiu	v0,128
    499  694:	f79f fd40 	daddiu	v0,-128
    500  698:	f17f fe48 	dla	v0,0 <data1>
    501  69c:	f080 fe40 	dla	v0,71c <data2>
    502  6a0:	f1c0 fe48 	dla	v0,868 <bar>
    503  6a4:	f280 fe4c 	dla	v0,930 <quux>
    504  6a8:	fb00      	daddiu	sp,0
    505  6aa:	f000 fb01 	daddiu	sp,1
    506  6ae:	f7ff fb1f 	daddiu	sp,-1
    507  6b2:	fb20      	daddiu	sp,256
    508  6b4:	fbe0      	daddiu	sp,-256
    509  6b6:	ff40      	daddiu	v0,sp,0
    510  6b8:	f000 ff41 	daddiu	v0,sp,1
    511  6bc:	f7ff ff5f 	daddiu	v0,sp,-1
    512  6c0:	ff48      	daddiu	v0,sp,32
    513  6c2:	f7ff ff40 	daddiu	v0,sp,-32
    514  6c6:	f080 ff40 	daddiu	v0,sp,128
    515  6ca:	f79f ff40 	daddiu	v0,sp,-128
    516  6ce:	4340      	addiu	v0,v1,0
    517  6d0:	4341      	addiu	v0,v1,1
    518  6d2:	434f      	addiu	v0,v1,-1
    519  6d4:	f010 4340 	addiu	v0,v1,16
    520  6d8:	f7ff 4340 	addiu	v0,v1,-16
    521  6dc:	e389      	addu	v0,v1,a0
    522  6de:	4a00      	addiu	v0,0
    523  6e0:	4a01      	addiu	v0,1
    524  6e2:	4aff      	addiu	v0,-1
    525  6e4:	4a20      	addiu	v0,32
    526  6e6:	4ae0      	addiu	v0,-32
    527  6e8:	f080 4a00 	addiu	v0,128
    528  6ec:	4a80      	addiu	v0,-128
    529  6ee:	f11f 0a14 	la	v0,0 <data1>
    530  6f2:	0a0b      	la	v0,71c <data2>
    531  6f4:	0a5d      	la	v0,868 <bar>
    532  6f6:	0a8f      	la	v0,930 <quux>
    533  6f8:	6300      	addiu	sp,0
    534  6fa:	f000 6301 	addiu	sp,1
    535  6fe:	f7ff 631f 	addiu	sp,-1
    536  702:	6320      	addiu	sp,256
    537  704:	63e0      	addiu	sp,-256
    538  706:	0200      	addiu	v0,sp,0
    539  708:	f000 0201 	addiu	v0,sp,1
    540  70c:	f7ff 021f 	addiu	v0,sp,-1
    541  710:	0208      	addiu	v0,sp,32
    542  712:	f7ff 0200 	addiu	v0,sp,-32
    543  716:	0220      	addiu	v0,sp,128
    544  718:	f79f 0200 	addiu	v0,sp,-128
    545 
    546 0+00071c <data2>:
    547  71c:	00000000 	nop
    548 
    549 0+000720 <insns2>:
    550  720:	e38a      	dsubu	v0,v1,a0
    551  722:	e38b      	subu	v0,v1,a0
    552  724:	ea6b      	neg	v0,v1
    553  726:	ea6c      	and	v0,v1
    554  728:	ea6d      	or	v0,v1
    555  72a:	ea6e      	xor	v0,v1
    556  72c:	ea6f      	not	v0,v1
    557  72e:	5200      	slti	v0,0
    558  730:	5201      	slti	v0,1
    559  732:	f7ff 521f 	slti	v0,-1
    560  736:	52ff      	slti	v0,255
    561  738:	f100 5200 	slti	v0,256
    562  73c:	ea62      	slt	v0,v1
    563  73e:	5a00      	sltiu	v0,0
    564  740:	5a01      	sltiu	v0,1
    565  742:	f7ff 5a1f 	sltiu	v0,-1
    566  746:	5aff      	sltiu	v0,255
    567  748:	f100 5a00 	sltiu	v0,256
    568  74c:	ea63      	sltu	v0,v1
    569  74e:	7200      	cmpi	v0,0
    570  750:	7201      	cmpi	v0,1
    571  752:	72ff      	cmpi	v0,255
    572  754:	f100 7200 	cmpi	v0,256
    573  758:	ea6a      	cmp	v0,v1
    574  75a:	f000 3261 	dsll	v0,v1,0
    575  75e:	3265      	dsll	v0,v1,1
    576  760:	3261      	dsll	v0,v1,8
    577  762:	f240 3261 	dsll	v0,v1,9
    578  766:	f7e0 3261 	dsll	v0,v1,63
    579  76a:	eb54      	dsllv	v0,v1
    580  76c:	f000 e848 	dsrl	v0,0
    581  770:	e948      	dsrl	v0,1
    582  772:	e848      	dsrl	v0,8
    583  774:	f240 e848 	dsrl	v0,9
    584  778:	f7e0 e848 	dsrl	v0,63
    585  77c:	eb56      	dsrlv	v0,v1
    586  77e:	f000 e853 	dsra	v0,0
    587  782:	e953      	dsra	v0,1
    588  784:	e853      	dsra	v0,8
    589  786:	f240 e853 	dsra	v0,9
    590  78a:	f7e0 e853 	dsra	v0,63
    591  78e:	eb57      	dsrav	v0,v1
    592  790:	ea12      	mflo	v0
    593  792:	eb10      	mfhi	v1
    594  794:	f000 3260 	sll	v0,v1,0
    595  798:	3264      	sll	v0,v1,1
    596  79a:	3260      	sll	v0,v1,8
    597  79c:	f240 3260 	sll	v0,v1,9
    598  7a0:	f7c0 3260 	sll	v0,v1,31
    599  7a4:	eb44      	sllv	v0,v1
    600  7a6:	f000 3262 	srl	v0,v1,0
    601  7aa:	3266      	srl	v0,v1,1
    602  7ac:	3262      	srl	v0,v1,8
    603  7ae:	f240 3262 	srl	v0,v1,9
    604  7b2:	f7c0 3262 	srl	v0,v1,31
    605  7b6:	eb46      	srlv	v0,v1
    606  7b8:	f000 3263 	sra	v0,v1,0
    607  7bc:	3267      	sra	v0,v1,1
    608  7be:	3263      	sra	v0,v1,8
    609  7c0:	f240 3263 	sra	v0,v1,9
    610  7c4:	f7c0 3263 	sra	v0,v1,31
    611  7c8:	eb47      	srav	v0,v1
    612  7ca:	ea7c      	dmult	v0,v1
    613  7cc:	ea7d      	dmultu	v0,v1
    614  7ce:	ea7e      	ddiv	zero,v0,v1
    615  7d0:	2b01      	bnez	v1,7d4 <insns2\+(0x|)b4>
    616  7d2:	e8e5      	break	7
    617  7d4:	ea12      	mflo	v0
    618  7d6:	6500      	nop
    619  7d8:	6500      	nop
    620  7da:	ea7f      	ddivu	zero,v0,v1
    621  7dc:	2b01      	bnez	v1,7e0 <insns2\+(0x|)c0>
    622  7de:	e8e5      	break	7
    623  7e0:	ea12      	mflo	v0
    624  7e2:	6500      	nop
    625  7e4:	6500      	nop
    626  7e6:	ea78      	mult	v0,v1
    627  7e8:	ea79      	multu	v0,v1
    628  7ea:	ea7a      	div	zero,v0,v1
    629  7ec:	2b01      	bnez	v1,7f0 <insns2\+(0x|)d0>
    630  7ee:	e8e5      	break	7
    631  7f0:	ea12      	mflo	v0
    632  7f2:	6500      	nop
    633  7f4:	6500      	nop
    634  7f6:	ea7b      	divu	zero,v0,v1
    635  7f8:	2b01      	bnez	v1,7fc <insns2\+(0x|)dc>
    636  7fa:	e8e5      	break	7
    637  7fc:	ea12      	mflo	v0
    638  7fe:	ea00      	jr	v0
    639  800:	6500      	nop
    640  802:	e820      	jr	ra
    641  804:	6500      	nop
    642  806:	ea40      	jalr	v0
    643  808:	6500      	nop
    644  80a:	f3ff 221b 	beqz	v0,4 <insns1>
    645  80e:	2288      	beqz	v0,720 <insns2>
    646  810:	222b      	beqz	v0,868 <bar>
    647  812:	f080 220d 	beqz	v0,930 <quux>
    648  816:	f3ff 2a15 	bnez	v0,4 <insns1>
    649  81a:	2a82      	bnez	v0,720 <insns2>
    650  81c:	2a25      	bnez	v0,868 <bar>
    651  81e:	f080 2a07 	bnez	v0,930 <quux>
    652  822:	f3ff 600f 	bteqz	4 <insns1>
    653  826:	f77f 601b 	bteqz	720 <insns2>
    654  82a:	601e      	bteqz	868 <bar>
    655  82c:	f080 6000 	bteqz	930 <quux>
    656  830:	f3ff 6108 	btnez	4 <insns1>
    657  834:	f77f 6114 	btnez	720 <insns2>
    658  838:	6117      	btnez	868 <bar>
    659  83a:	617a      	btnez	930 <quux>
    660  83c:	f3ff 1002 	b	4 <insns1>
    661  840:	176f      	b	720 <insns2>
    662  842:	1012      	b	868 <bar>
    663  844:	1075      	b	930 <quux>
    664  846:	e805      	break	0
    665  848:	e825      	break	1
    666  84a:	efe5      	break	63
    667  84c:	1800 0000 	jal	0 <data1>
    668 			84c: R_MIPS16_26	extern
    669  850:	6500      	nop
    670  852:	e809      	entry
    671  854:	e909      	entry	a0
    672  856:	eb49      	entry	a0-a2,s0
    673  858:	e8a9      	entry	s0-s1,ra
    674  85a:	e829      	entry	ra
    675  85c:	ef09      	exit
    676  85e:	ef49      	exit	s0
    677  860:	efa9      	exit	s0-s1,ra
    678  862:	ef29      	exit	ra
    679  864:	6500      	nop
    680  866:	6500      	nop
    681 
    682 0+000868 <bar>:
    683 	...
    684