HomeSort by relevance Sort by last modified time
    Searched defs:written (Results 76 - 100 of 665) sorted by null

1 2 34 5 6 7 8 91011>>

  /external/curl/lib/
socks_gssapi.c 109 ssize_t written; local
202 code = Curl_write_plain(conn, sock, (char *)socksreq, 4, &written);
203 if(code || (4 != written)) {
213 gss_send_token.length, &written);
215 if(code || ((ssize_t)gss_send_token.length != written)) {
408 code = Curl_write_plain(conn, sock, (char *)socksreq, 4, &written);
409 if(code || (4 != written)) {
418 code = Curl_write_plain(conn, sock, socksreq, 1, &written);
419 if(code || ( 1 != written)) {
427 gss_w_token.length, &written);
    [all...]
socks_sspi.c 69 ssize_t written; local
204 code = Curl_write_plain(conn, sock, (char *)socksreq, 4, &written);
205 if(code || (4 != written)) {
218 sspi_send_token.cbBuffer, &written);
219 if(code || (sspi_send_token.cbBuffer != (size_t)written)) {
466 code = Curl_write_plain(conn, sock, (char *)socksreq, 4, &written);
467 if(code || (4 != written)) {
477 code = Curl_write_plain(conn, sock, (char *)socksreq, 1, &written);
478 if(code || (1 != written)) {
486 sspi_send_token.cbBuffer, &written);
    [all...]
  /external/deqp/framework/delibs/destream/
deThreadStream.c 56 deInt32 written = 0; local
61 while (written < read)
66 deOutStream_write(&(threadStream->producerStream), buffer, read - written, &wrote);
68 written += wrote;
  /external/elfutils/libelf/
elf32_updatefile.c 4 Written by Ulrich Drepper <drepper@redhat.com>, 2000.
108 size_t written = 0; local
112 written = MIN (scn_start + offset - last_position,
115 memset (last_position, __libelf_fill_byte, written);
118 if (last_position + written != scn_start + offset
  /external/iproute2/ip/
ipila.c 56 size_t written = 0; local
65 ret = snprintf(&buff[written], len - written, "%x%s", v, sep);
69 written += ret;
72 return written;
  /external/libbrillo/brillo/streams/
stream.cc 272 size_t written = 0; local
273 if (!WriteNonBlocking(buffer, size_to_write, &written, error))
276 if (written > 0) {
281 success_callback, written));
284 success_callback.Run(written);
  /external/linux-kselftest/tools/testing/selftests/capabilities/
test_execve.c 38 ssize_t written; local
56 written = write(fd, buf, buf_len);
57 if (written != buf_len) {
58 if (written >= 0) {
  /external/linux-kselftest/tools/testing/selftests/mount/
unprivileged-remount-test.c 61 ssize_t written; local
80 written = write(fd, buf, buf_len);
81 if (written != buf_len) {
82 if (written >= 0) {
  /external/mesa3d/src/gallium/auxiliary/util/
u_string.h 246 size_t written; local
249 written = util_vsnprintf(sbuf->ptr, sbuf->left, format, ap);
251 sbuf->ptr += written;
252 sbuf->left -= written;
  /external/mesa3d/src/gallium/drivers/r300/compiler/
radeon_compiler.c 75 int written; local
78 written = vsnprintf(buf, sizeof(buf), fmt, ap);
81 if (written < sizeof(buf)) {
84 c->ErrorMsg = malloc(written + 1);
87 vsnprintf(c->ErrorMsg, written + 1, fmt, ap);
  /external/mesa3d/src/mesa/drivers/dri/i965/
brw_fs_cse.cpp 202 unsigned written = regs_written(inst); local
208 written != dst_width) {
215 assert(written % dst_width == 0);
216 sources = written / dst_width;
237 assert(regs_written(copy) == written);
287 int written = regs_written(entry->generator); local
289 entry->tmp = fs_reg(VGRF, alloc.allocate(written),
  /external/minijail/
system.c 72 ssize_t written; local
89 written = write(fd, content, len);
90 if (written < 0) {
95 if ((size_t)written < len) {
  /external/valgrind/coregrind/
m_libcassert.c 452 UInt written; local
479 /* Check whether anything will be written */
483 written = VG_(vsnprintf) ( buf, sizeof(buf), format, vargs );
486 if (written > 0) {
vgdb-invoker-solaris.c 111 ssize_t written = pwrite(as_fd, myaddr, len, memaddr); local
112 if ((written < 0) || (written != len)) {
121 DEBUG(1, "Written ok.\n");
148 ssize_t written = write(ctl_fd, (void *) &ctl, bytes); local
149 if ((written < 0) || (written != bytes)) {
159 written = write(ctl_fd, (void *) &ctl, bytes);
160 if ((written < 0) || (written != bytes))
382 ssize_t written = write(ctl_fd, (void *) &ctl, bytes); local
471 ssize_t written = write(agent_ctl_fd, (void *) &ctl, bytes); local
    [all...]
  /external/webrtc/webrtc/common_audio/
wav_file.cc 125 const size_t written = local
127 RTC_CHECK_EQ(num_samples, written);
128 num_samples_ += written;
129 RTC_CHECK(num_samples_ >= written); // detect size_t overflow
  /external/wpa_supplicant_8/wpa_supplicant/
win_if_list.c 50 DWORD written; local
62 &written, NULL)) {
79 DWORD written; local
93 (DWORD) blen, &written, NULL)) {
  /frameworks/av/media/mtp/
MtpFfsCompatHandle.cpp 83 PLOG(ERROR) << "less written than expected";
200 int written = aio_return(&aio); local
201 if (written == -1) {
205 if (static_cast<size_t>(written) < aio.aio_nbytes) {
  /frameworks/base/packages/PrintSpooler/jni/
com_android_printspooler_util_BitmapSerializeUtils.cpp 147 bool written = writeAllBytes(fd, (void*) &info, sizeof(AndroidBitmapInfo)); local
148 if (!written) {
163 written = writeAllBytes(fd, (void*) pixels, byteCount);
164 if (!written) {
  /libcore/ojluni/src/main/java/java/io/
DataOutputStream.java 40 * The number of bytes written to the data output stream so far.
43 protected int written; field in class:DataOutputStream
52 * underlying output stream. The counter <code>written</code> is
64 * Increases the written counter by the specified value
68 int temp = written + value;
72 written = temp;
78 * is thrown, the counter <code>written</code> is incremented by
83 * @param b the <code>byte</code> to be written.
95 * If no exception is thrown, the counter <code>written</code> is
113 * bytes to be written out to the stream
    [all...]
  /system/core/fastboot/
usb_windows.cpp 156 unsigned long written = 0; local
166 &written, time_out);
176 count += written;
177 len -= written;
178 data = (const char *)data + written;
  /system/core/libsysutils/src/
SocketClient.cpp 215 size_t written = rc; local
216 while ((current < iovcnt) && (written >= iov[current].iov_len)) {
217 written -= iov[current].iov_len;
223 iov[current].iov_base = (char *)iov[current].iov_base + written;
224 iov[current].iov_len -= written;
  /tools/external/fat32lib/src/main/java/de/waldheinz/fs/util/
FileDisk.java 137 final int written = fc.write(src, devOffset); local
138 if (written < 0) throw new IOException();
139 toWrite -= written;
140 devOffset += written;
  /bootable/recovery/applypatch/
applypatch.cpp 243 ssize_t written = TEMP_FAILURE_RETRY(ota_write(fd, data + start, to_write)); local
244 if (written == -1) {
248 start += written;
  /cts/tests/tests/media/src/android/media/cts/
NonBlockingAudioTrack.java 30 * block until all data has been written to system. In order to avoid blocking, this class
149 int written = mAudioTrack.write(element.data, element.size, local
151 if (written < 0) {
155 mNumBytesQueued -= written;
156 element.size -= written;
179 // accumulate size written to queue
  /dalvik/dexgen/src/com/android/dexgen/dex/code/
DalvInsnList.java 161 // Sanity check of the amount written.
162 int written = (out.getCursor() - startCursor) / 2; local
163 if (written != codeSize()) {
165 codeSize() + " but actually wrote " + written);

Completed in 373 milliseconds

1 2 34 5 6 7 8 91011>>