Home | History | Annotate | Download | only in mips
      1 #objdump: -dr --show-raw-insn
      2 #name: microMIPS for MIPS32r2 (w/traps)
      3 #as: -mips32r2 -32 -trap -mfp64 -EB
      4 #stderr: micromips-warn.l
      5 #source: micromips.s
      6 
      7 .*: +file format .*mips.*
      8 
      9 Disassembly of section \.text:
     10 
     11 [0-9a-f]+ <test>:
     12 [ 0-9a-f]+:	6000 2000 	pref	0x0,0\(zero\)
     13 [ 0-9a-f]+:	6000 27ff 	pref	0x0,2047\(zero\)
     14 [ 0-9a-f]+:	6000 2800 	pref	0x0,-2048\(zero\)
     15 [ 0-9a-f]+:	3020 0800 	li	at,2048
     16 [ 0-9a-f]+:	6001 2000 	pref	0x0,0\(at\)
     17 [ 0-9a-f]+:	3020 f7ff 	li	at,-2049
     18 [ 0-9a-f]+:	6001 2000 	pref	0x0,0\(at\)
     19 [ 0-9a-f]+:	6000 2000 	pref	0x0,0\(zero\)
     20 [ 0-9a-f]+:	6000 2000 	pref	0x0,0\(zero\)
     21 [ 0-9a-f]+:	6020 2000 	pref	0x1,0\(zero\)
     22 [ 0-9a-f]+:	6040 2000 	pref	0x2,0\(zero\)
     23 [ 0-9a-f]+:	6060 2000 	pref	0x3,0\(zero\)
     24 [ 0-9a-f]+:	6080 2000 	pref	0x4,0\(zero\)
     25 [ 0-9a-f]+:	60a0 2000 	pref	0x5,0\(zero\)
     26 [ 0-9a-f]+:	60c0 2000 	pref	0x6,0\(zero\)
     27 [ 0-9a-f]+:	60e0 2000 	pref	0x7,0\(zero\)
     28 [ 0-9a-f]+:	60e0 21ff 	pref	0x7,511\(zero\)
     29 [ 0-9a-f]+:	60e0 2e00 	pref	0x7,-512\(zero\)
     30 [ 0-9a-f]+:	63e0 27ff 	pref	0x1f,2047\(zero\)
     31 [ 0-9a-f]+:	63e0 2800 	pref	0x1f,-2048\(zero\)
     32 [ 0-9a-f]+:	3020 0800 	li	at,2048
     33 [ 0-9a-f]+:	63e1 2000 	pref	0x1f,0\(at\)
     34 [ 0-9a-f]+:	3020 f7ff 	li	at,-2049
     35 [ 0-9a-f]+:	63e1 2000 	pref	0x1f,0\(at\)
     36 [ 0-9a-f]+:	3020 7fff 	li	at,32767
     37 [ 0-9a-f]+:	6061 2000 	pref	0x3,0\(at\)
     38 [ 0-9a-f]+:	3020 8000 	li	at,-32768
     39 [ 0-9a-f]+:	6061 2000 	pref	0x3,0\(at\)
     40 [ 0-9a-f]+:	63e2 27ff 	pref	0x1f,2047\(v0\)
     41 [ 0-9a-f]+:	63e2 2800 	pref	0x1f,-2048\(v0\)
     42 [ 0-9a-f]+:	3022 0800 	addiu	at,v0,2048
     43 [ 0-9a-f]+:	63e1 2000 	pref	0x1f,0\(at\)
     44 [ 0-9a-f]+:	3022 f7ff 	addiu	at,v0,-2049
     45 [ 0-9a-f]+:	63e1 2000 	pref	0x1f,0\(at\)
     46 [ 0-9a-f]+:	3022 7fff 	addiu	at,v0,32767
     47 [ 0-9a-f]+:	6061 2000 	pref	0x3,0\(at\)
     48 [ 0-9a-f]+:	3022 8000 	addiu	at,v0,-32768
     49 [ 0-9a-f]+:	6061 2000 	pref	0x3,0\(at\)
     50 [ 0-9a-f]+:	0c00      	nop
     51 [ 0-9a-f]+:	0c00      	nop
     52 [ 0-9a-f]+:	0000 0000 	nop
     53 [ 0-9a-f]+:	0000 0800 	ssnop
     54 [ 0-9a-f]+:	0000 1800 	ehb
     55 [ 0-9a-f]+:	0000 2800 	pause
     56 [ 0-9a-f]+:	ed7f      	li	v0,-1
     57 [ 0-9a-f]+:	edff      	li	v1,-1
     58 [ 0-9a-f]+:	ee7f      	li	a0,-1
     59 [ 0-9a-f]+:	eeff      	li	a1,-1
     60 [ 0-9a-f]+:	ef7f      	li	a2,-1
     61 [ 0-9a-f]+:	efff      	li	a3,-1
     62 [ 0-9a-f]+:	ec7f      	li	s0,-1
     63 [ 0-9a-f]+:	ecff      	li	s1,-1
     64 [ 0-9a-f]+:	ec80      	li	s1,0
     65 [ 0-9a-f]+:	ecfd      	li	s1,125
     66 [ 0-9a-f]+:	ecfe      	li	s1,126
     67 [ 0-9a-f]+:	3220 007f 	li	s1,127
     68 [ 0-9a-f]+:	3040 0000 	li	v0,0
     69 [ 0-9a-f]+:	3040 0001 	li	v0,1
     70 [ 0-9a-f]+:	3040 7fff 	li	v0,32767
     71 [ 0-9a-f]+:	3040 8000 	li	v0,-32768
     72 [ 0-9a-f]+:	5040 ffff 	li	v0,0xffff
     73 [ 0-9a-f]+:	41a2 0001 	lui	v0,0x1
     74 [ 0-9a-f]+:	3040 8000 	li	v0,-32768
     75 [ 0-9a-f]+:	3040 8001 	li	v0,-32767
     76 [ 0-9a-f]+:	3040 ffff 	li	v0,-1
     77 [ 0-9a-f]+:	41a2 1234 	lui	v0,0x1234
     78 [ 0-9a-f]+:	5042 5678 	ori	v0,v0,0x5678
     79 [ 0-9a-f]+:	0c16      	move	zero,s6
     80 [ 0-9a-f]+:	0c56      	move	v0,s6
     81 [ 0-9a-f]+:	0c76      	move	v1,s6
     82 [ 0-9a-f]+:	0c96      	move	a0,s6
     83 [ 0-9a-f]+:	0cb6      	move	a1,s6
     84 [ 0-9a-f]+:	0cd6      	move	a2,s6
     85 [ 0-9a-f]+:	0cf6      	move	a3,s6
     86 [ 0-9a-f]+:	0d16      	move	t0,s6
     87 [ 0-9a-f]+:	0d36      	move	t1,s6
     88 [ 0-9a-f]+:	0d56      	move	t2,s6
     89 [ 0-9a-f]+:	0fd6      	move	s8,s6
     90 [ 0-9a-f]+:	0ff6      	move	ra,s6
     91 [ 0-9a-f]+:	0c00      	nop
     92 [ 0-9a-f]+:	0c02      	move	zero,v0
     93 [ 0-9a-f]+:	0c03      	move	zero,v1
     94 [ 0-9a-f]+:	0c04      	move	zero,a0
     95 [ 0-9a-f]+:	0c05      	move	zero,a1
     96 [ 0-9a-f]+:	0c06      	move	zero,a2
     97 [ 0-9a-f]+:	0c07      	move	zero,a3
     98 [ 0-9a-f]+:	0c08      	move	zero,t0
     99 [ 0-9a-f]+:	0c09      	move	zero,t1
    100 [ 0-9a-f]+:	0c0a      	move	zero,t2
    101 [ 0-9a-f]+:	0c1e      	move	zero,s8
    102 [ 0-9a-f]+:	0c1f      	move	zero,ra
    103 [ 0-9a-f]+:	0ec2      	move	s6,v0
    104 [ 0-9a-f]+:	0c56      	move	v0,s6
    105 [ 0-9a-f]+:	0ec2      	move	s6,v0
    106 [ 0-9a-f]+:	0016 1290 	move	v0,s6
    107 [ 0-9a-f]+:	cfff      	b	[0-9a-f]+ <test\+0x[0-9a-f]+>
    108 [ 	]*[0-9a-f]+: R_MICROMIPS_PC10_S1	test
    109 [ 0-9a-f]+:	0002 b290 	move	s6,v0
    110 [ 0-9a-f]+:	cfff      	b	[0-9a-f]+ <test\+0x[0-9a-f]+>
    111 [ 	]*[0-9a-f]+: R_MICROMIPS_PC10_S1	test
    112 [ 0-9a-f]+:	0c00      	nop
    113 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <test\+0x[0-9a-f]+>
    114 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
    115 [ 0-9a-f]+:	0c00      	nop
    116 [ 0-9a-f]+:	cfff      	b	[0-9a-f]+ <test\+0x[0-9a-f]+>
    117 [ 	]*[0-9a-f]+: R_MICROMIPS_PC10_S1	.*
    118 [ 0-9a-f]+:	0c00      	nop
    119 [ 0-9a-f]+:	cfff      	b	[0-9a-f]+ <test\+0x[0-9a-f]+>
    120 [ 	]*[0-9a-f]+: R_MICROMIPS_PC10_S1	.*
    121 [ 0-9a-f]+:	0c00      	nop
    122 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <test\+0x[0-9a-f]+>
    123 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    124 [ 0-9a-f]+:	0c00      	nop
    125 
    126 [0-9a-f]+ <.*>:
    127 [ 0-9a-f]+:	cfff      	b	[0-9a-f]+ <.*>
    128 [ 	]*[0-9a-f]+: R_MICROMIPS_PC10_S1	.*
    129 [ 0-9a-f]+:	0c00      	nop
    130 [ 0-9a-f]+:	cfff      	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
    131 [ 	]*[0-9a-f]+: R_MICROMIPS_PC10_S1	.*
    132 [ 0-9a-f]+:	0c00      	nop
    133 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
    134 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    135 [ 0-9a-f]+:	0c00      	nop
    136 [ 0-9a-f]+:	4043 fffe 	bgez	v1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    137 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    138 [ 0-9a-f]+:	0c43      	move	v0,v1
    139 [ 0-9a-f]+:	0060 1190 	neg	v0,v1
    140 
    141 [0-9a-f]+ <.*>:
    142 [ 0-9a-f]+:	4044 fffe 	bgez	a0,[0-9a-f]+ <.*>
    143 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    144 [ 0-9a-f]+:	0c44      	move	v0,a0
    145 [ 0-9a-f]+:	0080 1190 	neg	v0,a0
    146 
    147 [0-9a-f]+ <.*>:
    148 [ 0-9a-f]+:	4042 fffe 	bgez	v0,[0-9a-f]+ <.*>
    149 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    150 [ 0-9a-f]+:	0c00      	nop
    151 [ 0-9a-f]+:	0040 1190 	neg	v0,v0
    152 
    153 [0-9a-f]+ <.*>:
    154 [ 0-9a-f]+:	4042 fffe 	bgez	v0,[0-9a-f]+ <.*>
    155 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    156 [ 0-9a-f]+:	0c00      	nop
    157 [ 0-9a-f]+:	0040 1190 	neg	v0,v0
    158 
    159 [0-9a-f]+ <.*>:
    160 [ 0-9a-f]+:	0083 1110 	add	v0,v1,a0
    161 [ 0-9a-f]+:	03fe e910 	add	sp,s8,ra
    162 [ 0-9a-f]+:	0082 1110 	add	v0,v0,a0
    163 [ 0-9a-f]+:	0082 1110 	add	v0,v0,a0
    164 [ 0-9a-f]+:	1042 0000 	addi	v0,v0,0
    165 [ 0-9a-f]+:	1042 0001 	addi	v0,v0,1
    166 [ 0-9a-f]+:	1042 7fff 	addi	v0,v0,32767
    167 [ 0-9a-f]+:	1042 8000 	addi	v0,v0,-32768
    168 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
    169 [ 0-9a-f]+:	0022 1110 	add	v0,v0,at
    170 [ 0-9a-f]+:	1064 8000 	addi	v1,a0,-32768
    171 [ 0-9a-f]+:	1064 0000 	addi	v1,a0,0
    172 [ 0-9a-f]+:	1064 7fff 	addi	v1,a0,32767
    173 [ 0-9a-f]+:	1064 ffff 	addi	v1,a0,-1
    174 [ 0-9a-f]+:	1063 ffff 	addi	v1,v1,-1
    175 [ 0-9a-f]+:	1063 ffff 	addi	v1,v1,-1
    176 [ 0-9a-f]+:	4c10      	addiu	zero,zero,-8
    177 [ 0-9a-f]+:	4c50      	addiu	v0,v0,-8
    178 [ 0-9a-f]+:	4c70      	addiu	v1,v1,-8
    179 [ 0-9a-f]+:	4c90      	addiu	a0,a0,-8
    180 [ 0-9a-f]+:	4cb0      	addiu	a1,a1,-8
    181 [ 0-9a-f]+:	4cd0      	addiu	a2,a2,-8
    182 [ 0-9a-f]+:	4cf0      	addiu	a3,a3,-8
    183 [ 0-9a-f]+:	4d10      	addiu	t0,t0,-8
    184 [ 0-9a-f]+:	4d30      	addiu	t1,t1,-8
    185 [ 0-9a-f]+:	4d50      	addiu	t2,t2,-8
    186 [ 0-9a-f]+:	4fd0      	addiu	s8,s8,-8
    187 [ 0-9a-f]+:	4ff0      	addiu	ra,ra,-8
    188 [ 0-9a-f]+:	4ff2      	addiu	ra,ra,-7
    189 [ 0-9a-f]+:	4fe0      	addiu	ra,ra,0
    190 [ 0-9a-f]+:	4fe2      	addiu	ra,ra,1
    191 [ 0-9a-f]+:	4fec      	addiu	ra,ra,6
    192 [ 0-9a-f]+:	4fee      	addiu	ra,ra,7
    193 [ 0-9a-f]+:	33ff 0008 	addiu	ra,ra,8
    194 [ 0-9a-f]+:	4ffd      	addiu	sp,sp,-1032
    195 [ 0-9a-f]+:	4fff      	addiu	sp,sp,-1028
    196 [ 0-9a-f]+:	4e01      	addiu	sp,sp,-1024
    197 [ 0-9a-f]+:	4dff      	addiu	sp,sp,1020
    198 [ 0-9a-f]+:	4c01      	addiu	sp,sp,1024
    199 [ 0-9a-f]+:	4c03      	addiu	sp,sp,1028
    200 [ 0-9a-f]+:	4c03      	addiu	sp,sp,1028
    201 [ 0-9a-f]+:	33bd 0408 	addiu	sp,sp,1032
    202 [ 0-9a-f]+:	6d2e      	addiu	v0,v0,-1
    203 [ 0-9a-f]+:	6d3e      	addiu	v0,v1,-1
    204 [ 0-9a-f]+:	6d4e      	addiu	v0,a0,-1
    205 [ 0-9a-f]+:	6d5e      	addiu	v0,a1,-1
    206 [ 0-9a-f]+:	6d6e      	addiu	v0,a2,-1
    207 [ 0-9a-f]+:	6d7e      	addiu	v0,a3,-1
    208 [ 0-9a-f]+:	6d0e      	addiu	v0,s0,-1
    209 [ 0-9a-f]+:	6d1e      	addiu	v0,s1,-1
    210 [ 0-9a-f]+:	6d10      	addiu	v0,s1,1
    211 [ 0-9a-f]+:	6d12      	addiu	v0,s1,4
    212 [ 0-9a-f]+:	6d14      	addiu	v0,s1,8
    213 [ 0-9a-f]+:	6d16      	addiu	v0,s1,12
    214 [ 0-9a-f]+:	6d18      	addiu	v0,s1,16
    215 [ 0-9a-f]+:	6d1a      	addiu	v0,s1,20
    216 [ 0-9a-f]+:	6d1c      	addiu	v0,s1,24
    217 [ 0-9a-f]+:	6d9c      	addiu	v1,s1,24
    218 [ 0-9a-f]+:	6e1c      	addiu	a0,s1,24
    219 [ 0-9a-f]+:	6e9c      	addiu	a1,s1,24
    220 [ 0-9a-f]+:	6f1c      	addiu	a2,s1,24
    221 [ 0-9a-f]+:	6f9c      	addiu	a3,s1,24
    222 [ 0-9a-f]+:	6c1c      	addiu	s0,s1,24
    223 [ 0-9a-f]+:	6c9c      	addiu	s1,s1,24
    224 [ 0-9a-f]+:	0c5d      	move	v0,sp
    225 [ 0-9a-f]+:	6d03      	addiu	v0,sp,4
    226 [ 0-9a-f]+:	6d7d      	addiu	v0,sp,248
    227 [ 0-9a-f]+:	6d7f      	addiu	v0,sp,252
    228 [ 0-9a-f]+:	305d 0100 	addiu	v0,sp,256
    229 [ 0-9a-f]+:	6d7f      	addiu	v0,sp,252
    230 [ 0-9a-f]+:	6dff      	addiu	v1,sp,252
    231 [ 0-9a-f]+:	6e7f      	addiu	a0,sp,252
    232 [ 0-9a-f]+:	6eff      	addiu	a1,sp,252
    233 [ 0-9a-f]+:	6f7f      	addiu	a2,sp,252
    234 [ 0-9a-f]+:	6fff      	addiu	a3,sp,252
    235 [ 0-9a-f]+:	6c7f      	addiu	s0,sp,252
    236 [ 0-9a-f]+:	6cff      	addiu	s1,sp,252
    237 [ 0-9a-f]+:	3064 8000 	addiu	v1,a0,-32768
    238 [ 0-9a-f]+:	0c64      	move	v1,a0
    239 [ 0-9a-f]+:	3064 7fff 	addiu	v1,a0,32767
    240 [ 0-9a-f]+:	3064 ffff 	addiu	v1,a0,-1
    241 [ 0-9a-f]+:	3063 ffff 	addiu	v1,v1,-1
    242 [ 0-9a-f]+:	3063 ffff 	addiu	v1,v1,-1
    243 [ 0-9a-f]+:	0c56      	move	v0,s6
    244 [ 0-9a-f]+:	0ec2      	move	s6,v0
    245 [ 0-9a-f]+:	0c56      	move	v0,s6
    246 [ 0-9a-f]+:	0ec2      	move	s6,v0
    247 [ 0-9a-f]+:	0526      	addu	v0,v1,v0
    248 [ 0-9a-f]+:	0536      	addu	v0,v1,v1
    249 [ 0-9a-f]+:	0546      	addu	v0,v1,a0
    250 [ 0-9a-f]+:	0556      	addu	v0,v1,a1
    251 [ 0-9a-f]+:	0566      	addu	v0,v1,a2
    252 [ 0-9a-f]+:	0576      	addu	v0,v1,a3
    253 [ 0-9a-f]+:	0506      	addu	v0,v1,s0
    254 [ 0-9a-f]+:	0516      	addu	v0,v1,s1
    255 [ 0-9a-f]+:	0514      	addu	v0,v0,s1
    256 [ 0-9a-f]+:	0516      	addu	v0,v1,s1
    257 [ 0-9a-f]+:	0518      	addu	v0,a0,s1
    258 [ 0-9a-f]+:	051a      	addu	v0,a1,s1
    259 [ 0-9a-f]+:	051c      	addu	v0,a2,s1
    260 [ 0-9a-f]+:	051e      	addu	v0,a3,s1
    261 [ 0-9a-f]+:	0510      	addu	v0,s0,s1
    262 [ 0-9a-f]+:	0512      	addu	v0,s1,s1
    263 [ 0-9a-f]+:	0514      	addu	v0,v0,s1
    264 [ 0-9a-f]+:	0594      	addu	v1,v0,s1
    265 [ 0-9a-f]+:	0614      	addu	a0,v0,s1
    266 [ 0-9a-f]+:	0694      	addu	a1,v0,s1
    267 [ 0-9a-f]+:	0714      	addu	a2,v0,s1
    268 [ 0-9a-f]+:	0794      	addu	a3,v0,s1
    269 [ 0-9a-f]+:	0414      	addu	s0,v0,s1
    270 [ 0-9a-f]+:	0494      	addu	s1,v0,s1
    271 [ 0-9a-f]+:	07ae      	addu	a3,a3,v0
    272 [ 0-9a-f]+:	07ae      	addu	a3,a3,v0
    273 [ 0-9a-f]+:	07f4      	addu	a3,v0,a3
    274 [ 0-9a-f]+:	03fe e950 	addu	sp,s8,ra
    275 [ 0-9a-f]+:	3042 0000 	addiu	v0,v0,0
    276 [ 0-9a-f]+:	3042 0001 	addiu	v0,v0,1
    277 [ 0-9a-f]+:	3042 7fff 	addiu	v0,v0,32767
    278 [ 0-9a-f]+:	3042 8000 	addiu	v0,v0,-32768
    279 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
    280 [ 0-9a-f]+:	0022 1150 	addu	v0,v0,at
    281 [ 0-9a-f]+:	4492      	and	v0,v0,v0
    282 [ 0-9a-f]+:	4493      	and	v0,v0,v1
    283 [ 0-9a-f]+:	4494      	and	v0,v0,a0
    284 [ 0-9a-f]+:	4495      	and	v0,v0,a1
    285 [ 0-9a-f]+:	4496      	and	v0,v0,a2
    286 [ 0-9a-f]+:	4497      	and	v0,v0,a3
    287 [ 0-9a-f]+:	4490      	and	v0,v0,s0
    288 [ 0-9a-f]+:	4491      	and	v0,v0,s1
    289 [ 0-9a-f]+:	449a      	and	v1,v1,v0
    290 [ 0-9a-f]+:	44a2      	and	a0,a0,v0
    291 [ 0-9a-f]+:	44aa      	and	a1,a1,v0
    292 [ 0-9a-f]+:	44b2      	and	a2,a2,v0
    293 [ 0-9a-f]+:	44ba      	and	a3,a3,v0
    294 [ 0-9a-f]+:	4482      	and	s0,s0,v0
    295 [ 0-9a-f]+:	448a      	and	s1,s1,v0
    296 [ 0-9a-f]+:	4493      	and	v0,v0,v1
    297 [ 0-9a-f]+:	4493      	and	v0,v0,v1
    298 [ 0-9a-f]+:	4493      	and	v0,v0,v1
    299 [ 0-9a-f]+:	4493      	and	v0,v0,v1
    300 [ 0-9a-f]+:	0062 1250 	and	v0,v0,v1
    301 [ 0-9a-f]+:	2d21      	andi	v0,v0,0x1
    302 [ 0-9a-f]+:	2d22      	andi	v0,v0,0x2
    303 [ 0-9a-f]+:	2d23      	andi	v0,v0,0x3
    304 [ 0-9a-f]+:	2d24      	andi	v0,v0,0x4
    305 [ 0-9a-f]+:	2d25      	andi	v0,v0,0x7
    306 [ 0-9a-f]+:	2d26      	andi	v0,v0,0x8
    307 [ 0-9a-f]+:	2d27      	andi	v0,v0,0xf
    308 [ 0-9a-f]+:	2d28      	andi	v0,v0,0x10
    309 [ 0-9a-f]+:	2d29      	andi	v0,v0,0x1f
    310 [ 0-9a-f]+:	2d2a      	andi	v0,v0,0x20
    311 [ 0-9a-f]+:	2d2b      	andi	v0,v0,0x3f
    312 [ 0-9a-f]+:	2d2c      	andi	v0,v0,0x40
    313 [ 0-9a-f]+:	2d20      	andi	v0,v0,0x80
    314 [ 0-9a-f]+:	2d2d      	andi	v0,v0,0xff
    315 [ 0-9a-f]+:	2d2e      	andi	v0,v0,0x8000
    316 [ 0-9a-f]+:	2d2f      	andi	v0,v0,0xffff
    317 [ 0-9a-f]+:	2d3f      	andi	v0,v1,0xffff
    318 [ 0-9a-f]+:	2d4f      	andi	v0,a0,0xffff
    319 [ 0-9a-f]+:	2d5f      	andi	v0,a1,0xffff
    320 [ 0-9a-f]+:	2d6f      	andi	v0,a2,0xffff
    321 [ 0-9a-f]+:	2d7f      	andi	v0,a3,0xffff
    322 [ 0-9a-f]+:	2d0f      	andi	v0,s0,0xffff
    323 [ 0-9a-f]+:	2d1f      	andi	v0,s1,0xffff
    324 [ 0-9a-f]+:	2d9f      	andi	v1,s1,0xffff
    325 [ 0-9a-f]+:	2e1f      	andi	a0,s1,0xffff
    326 [ 0-9a-f]+:	2e9f      	andi	a1,s1,0xffff
    327 [ 0-9a-f]+:	2f1f      	andi	a2,s1,0xffff
    328 [ 0-9a-f]+:	2f9f      	andi	a3,s1,0xffff
    329 [ 0-9a-f]+:	2c1f      	andi	s0,s1,0xffff
    330 [ 0-9a-f]+:	2c9f      	andi	s1,s1,0xffff
    331 [ 0-9a-f]+:	2fff      	andi	a3,a3,0xffff
    332 [ 0-9a-f]+:	2fff      	andi	a3,a3,0xffff
    333 [ 0-9a-f]+:	2fff      	andi	a3,a3,0xffff
    334 [ 0-9a-f]+:	d0e7 ffff 	andi	a3,a3,0xffff
    335 [ 0-9a-f]+:	0083 1250 	and	v0,v1,a0
    336 [ 0-9a-f]+:	0082 1250 	and	v0,v0,a0
    337 [ 0-9a-f]+:	0082 1250 	and	v0,v0,a0
    338 [ 0-9a-f]+:	d043 0000 	andi	v0,v1,0x0
    339 [ 0-9a-f]+:	d043 ffff 	andi	v0,v1,0xffff
    340 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
    341 [ 0-9a-f]+:	0023 1250 	and	v0,v1,at
    342 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
    343 [ 0-9a-f]+:	5021 0001 	ori	at,at,0x1
    344 [ 0-9a-f]+:	4280 fffe 	bc2f	[0-9a-f]+ <.*\+0x[0-9a-f]+>
    345 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
    346 [ 0-9a-f]+:	0023 1250 	and	v0,v1,at
    347 [ 0-9a-f]+:	4280 fffe 	bc2f	[0-9a-f]+ <.*\+0x[0-9a-f]+>
    348 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
    349 [ 0-9a-f]+:	0c00      	nop
    350 [ 0-9a-f]+:	4284 fffe 	bc2f	\$cc1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    351 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
    352 [ 0-9a-f]+:	0c00      	nop
    353 [ 0-9a-f]+:	4288 fffe 	bc2f	\$cc2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    354 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
    355 [ 0-9a-f]+:	0c00      	nop
    356 [ 0-9a-f]+:	428c fffe 	bc2f	\$cc3,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    357 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
    358 [ 0-9a-f]+:	0c00      	nop
    359 [ 0-9a-f]+:	4290 fffe 	bc2f	\$cc4,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    360 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
    361 [ 0-9a-f]+:	0c00      	nop
    362 [ 0-9a-f]+:	4294 fffe 	bc2f	\$cc5,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    363 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
    364 [ 0-9a-f]+:	0c00      	nop
    365 [ 0-9a-f]+:	4298 fffe 	bc2f	\$cc6,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    366 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
    367 [ 0-9a-f]+:	0c00      	nop
    368 [ 0-9a-f]+:	429c fffe 	bc2f	\$cc7,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    369 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
    370 [ 0-9a-f]+:	0c00      	nop
    371 [ 0-9a-f]+:	42a0 fffe 	bc2t	[0-9a-f]+ <.*\+0x[0-9a-f]+>
    372 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
    373 [ 0-9a-f]+:	0c00      	nop
    374 [ 0-9a-f]+:	42a0 fffe 	bc2t	[0-9a-f]+ <.*\+0x[0-9a-f]+>
    375 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
    376 [ 0-9a-f]+:	0c00      	nop
    377 [ 0-9a-f]+:	42a4 fffe 	bc2t	\$cc1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    378 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
    379 [ 0-9a-f]+:	0c00      	nop
    380 [ 0-9a-f]+:	42a8 fffe 	bc2t	\$cc2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    381 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
    382 [ 0-9a-f]+:	0c00      	nop
    383 [ 0-9a-f]+:	42ac fffe 	bc2t	\$cc3,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    384 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
    385 [ 0-9a-f]+:	0c00      	nop
    386 [ 0-9a-f]+:	42b0 fffe 	bc2t	\$cc4,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    387 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
    388 [ 0-9a-f]+:	0c00      	nop
    389 [ 0-9a-f]+:	42b4 fffe 	bc2t	\$cc5,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    390 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
    391 [ 0-9a-f]+:	0c00      	nop
    392 [ 0-9a-f]+:	42b8 fffe 	bc2t	\$cc6,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    393 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
    394 [ 0-9a-f]+:	0c00      	nop
    395 [ 0-9a-f]+:	42bc fffe 	bc2t	\$cc7,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    396 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
    397 [ 0-9a-f]+:	0c00      	nop
    398 [ 0-9a-f]+:	42a4 fffe 	bc2t	\$cc1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    399 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    400 [ 0-9a-f]+:	0c00      	nop
    401 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
    402 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
    403 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
    404 
    405 [0-9a-f]+ <.*>:
    406 [ 0-9a-f]+:	4288 fffe 	bc2f	\$cc2,[0-9a-f]+ <.*>
    407 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    408 [ 0-9a-f]+:	0c00      	nop
    409 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
    410 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
    411 [ 0-9a-f]+:	0107 3150 	addu	a2,a3,t0
    412 
    413 [0-9a-f]+ <.*>:
    414 [ 0-9a-f]+:	428c fffe 	bc2f	\$cc3,[0-9a-f]+ <.*>
    415 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
    416 [ 0-9a-f]+:	0c00      	nop
    417 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
    418 [ 0-9a-f]+:	42b0 fffe 	bc2t	\$cc4,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    419 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
    420 [ 0-9a-f]+:	0c00      	nop
    421 [ 0-9a-f]+:	0107 3150 	addu	a2,a3,t0
    422 
    423 [0-9a-f]+ <test2>:
    424 [ 0-9a-f]+:	8d7f      	beqz	v0,[0-9a-f]+ <test2>
    425 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
    426 [ 0-9a-f]+:	0c00      	nop
    427 [ 0-9a-f]+:	8dff      	beqz	v1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
    428 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
    429 [ 0-9a-f]+:	0c00      	nop
    430 [ 0-9a-f]+:	8e7f      	beqz	a0,[0-9a-f]+ <test2\+0x[0-9a-f]+>
    431 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
    432 [ 0-9a-f]+:	0c00      	nop
    433 [ 0-9a-f]+:	8eff      	beqz	a1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
    434 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
    435 [ 0-9a-f]+:	0c00      	nop
    436 [ 0-9a-f]+:	8f7f      	beqz	a2,[0-9a-f]+ <test2\+0x[0-9a-f]+>
    437 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
    438 [ 0-9a-f]+:	0c00      	nop
    439 [ 0-9a-f]+:	8fff      	beqz	a3,[0-9a-f]+ <test2\+0x[0-9a-f]+>
    440 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
    441 [ 0-9a-f]+:	0c00      	nop
    442 [ 0-9a-f]+:	8c7f      	beqz	s0,[0-9a-f]+ <test2\+0x[0-9a-f]+>
    443 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
    444 [ 0-9a-f]+:	0c00      	nop
    445 [ 0-9a-f]+:	8cff      	beqz	s1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
    446 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
    447 [ 0-9a-f]+:	0c00      	nop
    448 [ 0-9a-f]+:	8d7f      	beqz	v0,[0-9a-f]+ <test2\+0x[0-9a-f]+>
    449 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
    450 [ 0-9a-f]+:	0c00      	nop
    451 [ 0-9a-f]+:	8dff      	beqz	v1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
    452 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
    453 [ 0-9a-f]+:	0c00      	nop
    454 [ 0-9a-f]+:	8e7f      	beqz	a0,[0-9a-f]+ <test2\+0x[0-9a-f]+>
    455 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
    456 [ 0-9a-f]+:	0c00      	nop
    457 [ 0-9a-f]+:	8eff      	beqz	a1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
    458 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
    459 [ 0-9a-f]+:	0c00      	nop
    460 [ 0-9a-f]+:	8f7f      	beqz	a2,[0-9a-f]+ <test2\+0x[0-9a-f]+>
    461 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
    462 [ 0-9a-f]+:	0c00      	nop
    463 [ 0-9a-f]+:	8fff      	beqz	a3,[0-9a-f]+ <test2\+0x[0-9a-f]+>
    464 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
    465 [ 0-9a-f]+:	0c00      	nop
    466 [ 0-9a-f]+:	8c7f      	beqz	s0,[0-9a-f]+ <test2\+0x[0-9a-f]+>
    467 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
    468 [ 0-9a-f]+:	0c00      	nop
    469 [ 0-9a-f]+:	8cff      	beqz	s1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
    470 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
    471 [ 0-9a-f]+:	0c00      	nop
    472 [ 0-9a-f]+:	8d7f      	beqz	v0,[0-9a-f]+ <test2\+0x[0-9a-f]+>
    473 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
    474 [ 0-9a-f]+:	0c00      	nop
    475 [ 0-9a-f]+:	8dff      	beqz	v1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
    476 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
    477 [ 0-9a-f]+:	0c00      	nop
    478 [ 0-9a-f]+:	8e7f      	beqz	a0,[0-9a-f]+ <test2\+0x[0-9a-f]+>
    479 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
    480 [ 0-9a-f]+:	0c00      	nop
    481 [ 0-9a-f]+:	8eff      	beqz	a1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
    482 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
    483 [ 0-9a-f]+:	0c00      	nop
    484 [ 0-9a-f]+:	8f7f      	beqz	a2,[0-9a-f]+ <test2\+0x[0-9a-f]+>
    485 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
    486 [ 0-9a-f]+:	0c00      	nop
    487 [ 0-9a-f]+:	8fff      	beqz	a3,[0-9a-f]+ <test2\+0x[0-9a-f]+>
    488 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
    489 [ 0-9a-f]+:	0c00      	nop
    490 [ 0-9a-f]+:	8c7f      	beqz	s0,[0-9a-f]+ <test2\+0x[0-9a-f]+>
    491 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
    492 [ 0-9a-f]+:	0c00      	nop
    493 [ 0-9a-f]+:	8cff      	beqz	s1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
    494 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
    495 [ 0-9a-f]+:	0c00      	nop
    496 [ 0-9a-f]+:	8c7f      	beqz	s0,[0-9a-f]+ <test2\+0x[0-9a-f]+>
    497 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
    498 [ 0-9a-f]+:	0c00      	nop
    499 [ 0-9a-f]+:	9410 fffe 	beqz	s0,[0-9a-f]+ <test2\+0x[0-9a-f]+>
    500 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
    501 [ 0-9a-f]+:	0c00      	nop
    502 [ 0-9a-f]+:	8cff      	beqz	s1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
    503 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test2
    504 [ 0-9a-f]+:	0c00      	nop
    505 [ 0-9a-f]+:	9411 fffe 	beqz	s1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
    506 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
    507 [ 0-9a-f]+:	0c00      	nop
    508 [ 0-9a-f]+:	40f1 fffe 	beqzc	s1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
    509 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
    510 [ 0-9a-f]+:	9410 fffe 	beqz	s0,[0-9a-f]+ <test2\+0x[0-9a-f]+>
    511 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
    512 [ 0-9a-f]+:	0c00      	nop
    513 [ 0-9a-f]+:	3020 000a 	li	at,10
    514 [ 0-9a-f]+:	9430 fffe 	beq	s0,at,[0-9a-f]+ <test2\+0x[0-9a-f]+>
    515 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
    516 [ 0-9a-f]+:	0c00      	nop
    517 [ 0-9a-f]+:	3020 7fff 	li	at,32767
    518 [ 0-9a-f]+:	9430 fffe 	beq	s0,at,[0-9a-f]+ <test2\+0x[0-9a-f]+>
    519 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
    520 [ 0-9a-f]+:	0c00      	nop
    521 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
    522 [ 0-9a-f]+:	9430 fffe 	beq	s0,at,[0-9a-f]+ <test2\+0x[0-9a-f]+>
    523 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
    524 [ 0-9a-f]+:	0c00      	nop
    525 [ 0-9a-f]+:	b630 fffe 	bne	s0,s1,[0-9a-f]+ <test2\+0x[0-9a-f]+>
    526 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    527 [ 0-9a-f]+:	0c00      	nop
    528 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <test2\+0x[0-9a-f]+>
    529 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
    530 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
    531 
    532 [0-9a-f]+ <.*>:
    533 [ 0-9a-f]+:	b630 fffe 	bne	s0,s1,[0-9a-f]+ <.*>
    534 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    535 [ 0-9a-f]+:	0c00      	nop
    536 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
    537 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    538 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
    539 
    540 [0-9a-f]+ <.*>:
    541 [ 0-9a-f]+:	b410 fffe 	bnez	s0,[0-9a-f]+ <.*>
    542 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    543 [ 0-9a-f]+:	0c00      	nop
    544 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
    545 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
    546 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
    547 
    548 [0-9a-f]+ <.*>:
    549 [ 0-9a-f]+:	b410 fffe 	bnez	s0,[0-9a-f]+ <.*>
    550 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    551 [ 0-9a-f]+:	0c00      	nop
    552 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
    553 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    554 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
    555 
    556 [0-9a-f]+ <.*>:
    557 [ 0-9a-f]+:	3020 000a 	li	at,10
    558 [ 0-9a-f]+:	b430 fffe 	bne	s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    559 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    560 [ 0-9a-f]+:	0c00      	nop
    561 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
    562 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
    563 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
    564 
    565 [0-9a-f]+ <.*>:
    566 [ 0-9a-f]+:	3020 000a 	li	at,10
    567 [ 0-9a-f]+:	b430 fffe 	bne	s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    568 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    569 [ 0-9a-f]+:	0c00      	nop
    570 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
    571 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    572 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
    573 
    574 [0-9a-f]+ <.*>:
    575 [ 0-9a-f]+:	3020 7fff 	li	at,32767
    576 [ 0-9a-f]+:	b430 fffe 	bne	s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    577 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    578 [ 0-9a-f]+:	0c00      	nop
    579 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
    580 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
    581 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
    582 
    583 [0-9a-f]+ <.*>:
    584 [ 0-9a-f]+:	3020 7fff 	li	at,32767
    585 [ 0-9a-f]+:	b430 fffe 	bne	s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    586 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    587 [ 0-9a-f]+:	0c00      	nop
    588 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
    589 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    590 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
    591 
    592 [0-9a-f]+ <.*>:
    593 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
    594 [ 0-9a-f]+:	b430 fffe 	bne	s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    595 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    596 [ 0-9a-f]+:	0c00      	nop
    597 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
    598 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
    599 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
    600 
    601 [0-9a-f]+ <.*>:
    602 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
    603 [ 0-9a-f]+:	b430 fffe 	bne	s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    604 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    605 [ 0-9a-f]+:	0c00      	nop
    606 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
    607 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    608 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
    609 
    610 [0-9a-f]+ <.*>:
    611 [ 0-9a-f]+:	b630 fffe 	bne	s0,s1,[0-9a-f]+ <.*>
    612 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    613 [ 0-9a-f]+:	0c00      	nop
    614 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
    615 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
    616 [ 0-9a-f]+:	03a4 1950 	addu	v1,a0,sp
    617 
    618 [0-9a-f]+ <.*>:
    619 [ 0-9a-f]+:	b630 fffe 	bne	s0,s1,[0-9a-f]+ <.*>
    620 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    621 [ 0-9a-f]+:	0c00      	nop
    622 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
    623 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    624 [ 0-9a-f]+:	03a4 1950 	addu	v1,a0,sp
    625 
    626 [0-9a-f]+ <.*>:
    627 [ 0-9a-f]+:	b410 fffe 	bnez	s0,[0-9a-f]+ <.*>
    628 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    629 [ 0-9a-f]+:	0c00      	nop
    630 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
    631 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
    632 [ 0-9a-f]+:	03a4 1950 	addu	v1,a0,sp
    633 
    634 [0-9a-f]+ <.*>:
    635 [ 0-9a-f]+:	b410 fffe 	bnez	s0,[0-9a-f]+ <.*>
    636 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    637 [ 0-9a-f]+:	0c00      	nop
    638 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
    639 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    640 [ 0-9a-f]+:	03a4 1950 	addu	v1,a0,sp
    641 
    642 [0-9a-f]+ <.*>:
    643 [ 0-9a-f]+:	3020 000a 	li	at,10
    644 [ 0-9a-f]+:	b430 fffe 	bne	s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    645 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    646 [ 0-9a-f]+:	0c00      	nop
    647 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
    648 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
    649 [ 0-9a-f]+:	03a4 1950 	addu	v1,a0,sp
    650 
    651 [0-9a-f]+ <.*>:
    652 [ 0-9a-f]+:	3020 000a 	li	at,10
    653 [ 0-9a-f]+:	b430 fffe 	bne	s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    654 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    655 [ 0-9a-f]+:	0c00      	nop
    656 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
    657 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    658 [ 0-9a-f]+:	03a4 1950 	addu	v1,a0,sp
    659 
    660 [0-9a-f]+ <.*>:
    661 [ 0-9a-f]+:	3020 7fff 	li	at,32767
    662 [ 0-9a-f]+:	b430 fffe 	bne	s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    663 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    664 [ 0-9a-f]+:	0c00      	nop
    665 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
    666 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
    667 [ 0-9a-f]+:	03a4 1950 	addu	v1,a0,sp
    668 
    669 [0-9a-f]+ <.*>:
    670 [ 0-9a-f]+:	3020 7fff 	li	at,32767
    671 [ 0-9a-f]+:	b430 fffe 	bne	s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    672 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    673 [ 0-9a-f]+:	0c00      	nop
    674 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
    675 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    676 [ 0-9a-f]+:	03a4 1950 	addu	v1,a0,sp
    677 
    678 [0-9a-f]+ <.*>:
    679 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
    680 [ 0-9a-f]+:	b430 fffe 	bne	s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    681 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    682 [ 0-9a-f]+:	0c00      	nop
    683 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
    684 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
    685 [ 0-9a-f]+:	03a4 1950 	addu	v1,a0,sp
    686 
    687 [0-9a-f]+ <.*>:
    688 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
    689 [ 0-9a-f]+:	b430 fffe 	bne	s0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    690 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    691 [ 0-9a-f]+:	0c00      	nop
    692 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
    693 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    694 [ 0-9a-f]+:	03a4 1950 	addu	v1,a0,sp
    695 
    696 [0-9a-f]+ <.*>:
    697 [ 0-9a-f]+:	9630 fffe 	beq	s0,s1,[0-9a-f]+ <.*>
    698 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
    699 [ 0-9a-f]+:	0c00      	nop
    700 [ 0-9a-f]+:	9411 fffe 	beqz	s1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    701 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
    702 [ 0-9a-f]+:	0c00      	nop
    703 [ 0-9a-f]+:	ad7f      	bnez	v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    704 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
    705 [ 0-9a-f]+:	0c00      	nop
    706 [ 0-9a-f]+:	adff      	bnez	v1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    707 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
    708 [ 0-9a-f]+:	0c00      	nop
    709 [ 0-9a-f]+:	ae7f      	bnez	a0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    710 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
    711 [ 0-9a-f]+:	0c00      	nop
    712 [ 0-9a-f]+:	aeff      	bnez	a1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    713 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
    714 [ 0-9a-f]+:	0c00      	nop
    715 [ 0-9a-f]+:	af7f      	bnez	a2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    716 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
    717 [ 0-9a-f]+:	0c00      	nop
    718 [ 0-9a-f]+:	afff      	bnez	a3,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    719 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
    720 [ 0-9a-f]+:	0c00      	nop
    721 [ 0-9a-f]+:	ac7f      	bnez	s0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    722 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
    723 [ 0-9a-f]+:	0c00      	nop
    724 [ 0-9a-f]+:	acff      	bnez	s1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    725 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
    726 [ 0-9a-f]+:	0c00      	nop
    727 [ 0-9a-f]+:	ad7f      	bnez	v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    728 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
    729 [ 0-9a-f]+:	0c00      	nop
    730 [ 0-9a-f]+:	adff      	bnez	v1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    731 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
    732 [ 0-9a-f]+:	0c00      	nop
    733 [ 0-9a-f]+:	ae7f      	bnez	a0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    734 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
    735 [ 0-9a-f]+:	0c00      	nop
    736 [ 0-9a-f]+:	aeff      	bnez	a1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    737 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
    738 [ 0-9a-f]+:	0c00      	nop
    739 [ 0-9a-f]+:	af7f      	bnez	a2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    740 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
    741 [ 0-9a-f]+:	0c00      	nop
    742 [ 0-9a-f]+:	afff      	bnez	a3,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    743 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
    744 [ 0-9a-f]+:	0c00      	nop
    745 [ 0-9a-f]+:	ac7f      	bnez	s0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    746 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
    747 [ 0-9a-f]+:	0c00      	nop
    748 [ 0-9a-f]+:	acff      	bnez	s1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    749 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
    750 [ 0-9a-f]+:	0c00      	nop
    751 [ 0-9a-f]+:	ad7f      	bnez	v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    752 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
    753 [ 0-9a-f]+:	0c00      	nop
    754 [ 0-9a-f]+:	adff      	bnez	v1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    755 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
    756 [ 0-9a-f]+:	0c00      	nop
    757 [ 0-9a-f]+:	ae7f      	bnez	a0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    758 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
    759 [ 0-9a-f]+:	0c00      	nop
    760 [ 0-9a-f]+:	aeff      	bnez	a1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    761 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
    762 [ 0-9a-f]+:	0c00      	nop
    763 [ 0-9a-f]+:	af7f      	bnez	a2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    764 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
    765 [ 0-9a-f]+:	0c00      	nop
    766 [ 0-9a-f]+:	afff      	bnez	a3,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    767 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
    768 [ 0-9a-f]+:	0c00      	nop
    769 [ 0-9a-f]+:	ac7f      	bnez	s0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    770 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
    771 [ 0-9a-f]+:	0c00      	nop
    772 [ 0-9a-f]+:	acff      	bnez	s1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    773 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
    774 [ 0-9a-f]+:	0c00      	nop
    775 [ 0-9a-f]+:	ac7f      	bnez	s0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    776 [ 	]*[0-9a-f]+: R_MICROMIPS_PC7_S1	test3
    777 [ 0-9a-f]+:	0c00      	nop
    778 [ 0-9a-f]+:	b410 fffe 	bnez	s0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    779 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test3
    780 [ 0-9a-f]+:	0c00      	nop
    781 [ 0-9a-f]+:	b411 fffe 	bnez	s1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    782 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
    783 [ 0-9a-f]+:	0c00      	nop
    784 [ 0-9a-f]+:	b411 fffe 	bnez	s1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
    785 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
    786 [ 0-9a-f]+:	0c00      	nop
    787 
    788 [0-9a-f]+ <test3>:
    789 [ 0-9a-f]+:	40b1 fffe 	bnezc	s1,[0-9a-f]+ <test3>
    790 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test2
    791 [ 0-9a-f]+:	4680      	break
    792 [ 0-9a-f]+:	4680      	break
    793 [ 0-9a-f]+:	4681      	break	0x1
    794 [ 0-9a-f]+:	4682      	break	0x2
    795 [ 0-9a-f]+:	4683      	break	0x3
    796 [ 0-9a-f]+:	4684      	break	0x4
    797 [ 0-9a-f]+:	4685      	break	0x5
    798 [ 0-9a-f]+:	4686      	break	0x6
    799 [ 0-9a-f]+:	4687      	break	0x7
    800 [ 0-9a-f]+:	4688      	break	0x8
    801 [ 0-9a-f]+:	4689      	break	0x9
    802 [ 0-9a-f]+:	468a      	break	0xa
    803 [ 0-9a-f]+:	468b      	break	0xb
    804 [ 0-9a-f]+:	468c      	break	0xc
    805 [ 0-9a-f]+:	468d      	break	0xd
    806 [ 0-9a-f]+:	468e      	break	0xe
    807 [ 0-9a-f]+:	468f      	break	0xf
    808 [ 0-9a-f]+:	003f 0007 	break	0x3f
    809 [ 0-9a-f]+:	0040 0007 	break	0x40
    810 [ 0-9a-f]+:	03ff 0007 	break	0x3ff
    811 [ 0-9a-f]+:	03ff ffc7 	break	0x3ff,0x3ff
    812 [ 0-9a-f]+:	0000 0007 	break
    813 [ 0-9a-f]+:	0000 0007 	break
    814 [ 0-9a-f]+:	0001 0007 	break	0x1
    815 [ 0-9a-f]+:	0002 0007 	break	0x2
    816 [ 0-9a-f]+:	000f 0007 	break	0xf
    817 [ 0-9a-f]+:	003f 0007 	break	0x3f
    818 [ 0-9a-f]+:	0040 0007 	break	0x40
    819 [ 0-9a-f]+:	03ff 0007 	break	0x3ff
    820 [ 0-9a-f]+:	03ff ffc7 	break	0x3ff,0x3ff
    821 [ 0-9a-f]+:	2000 6000 	cache	0x0,0\(zero\)
    822 [ 0-9a-f]+:	2000 6800 	cache	0x0,-2048\(zero\)
    823 [ 0-9a-f]+:	2000 67ff 	cache	0x0,2047\(zero\)
    824 [ 0-9a-f]+:	3020 f7ff 	li	at,-2049
    825 [ 0-9a-f]+:	2001 6000 	cache	0x0,0\(at\)
    826 [ 0-9a-f]+:	3020 0800 	li	at,2048
    827 [ 0-9a-f]+:	2001 6000 	cache	0x0,0\(at\)
    828 [ 0-9a-f]+:	2002 6000 	cache	0x0,0\(v0\)
    829 [ 0-9a-f]+:	2002 6800 	cache	0x0,-2048\(v0\)
    830 [ 0-9a-f]+:	2002 67ff 	cache	0x0,2047\(v0\)
    831 [ 0-9a-f]+:	3022 f7ff 	addiu	at,v0,-2049
    832 [ 0-9a-f]+:	2001 6000 	cache	0x0,0\(at\)
    833 [ 0-9a-f]+:	3022 0800 	addiu	at,v0,2048
    834 [ 0-9a-f]+:	2001 6000 	cache	0x0,0\(at\)
    835 [ 0-9a-f]+:	2000 6000 	cache	0x0,0\(zero\)
    836 [ 0-9a-f]+:	2000 6000 	cache	0x0,0\(zero\)
    837 [ 0-9a-f]+:	2020 6000 	cache	0x1,0\(zero\)
    838 [ 0-9a-f]+:	2040 6000 	cache	0x2,0\(zero\)
    839 [ 0-9a-f]+:	2060 6000 	cache	0x3,0\(zero\)
    840 [ 0-9a-f]+:	2080 6000 	cache	0x4,0\(zero\)
    841 [ 0-9a-f]+:	20a0 6000 	cache	0x5,0\(zero\)
    842 [ 0-9a-f]+:	20c0 6000 	cache	0x6,0\(zero\)
    843 [ 0-9a-f]+:	23e0 6000 	cache	0x1f,0\(zero\)
    844 [ 0-9a-f]+:	23e0 67ff 	cache	0x1f,2047\(zero\)
    845 [ 0-9a-f]+:	23e0 6800 	cache	0x1f,-2048\(zero\)
    846 [ 0-9a-f]+:	2000 67ff 	cache	0x0,2047\(zero\)
    847 [ 0-9a-f]+:	2000 6800 	cache	0x0,-2048\(zero\)
    848 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
    849 [ 0-9a-f]+:	0061 0950 	addu	at,at,v1
    850 [ 0-9a-f]+:	23e1 6000 	cache	0x1f,0\(at\)
    851 [ 0-9a-f]+:	3023 0800 	addiu	at,v1,2048
    852 [ 0-9a-f]+:	23e1 6000 	cache	0x1f,0\(at\)
    853 [ 0-9a-f]+:	3023 f7ff 	addiu	at,v1,-2049
    854 [ 0-9a-f]+:	23e1 6000 	cache	0x1f,0\(at\)
    855 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
    856 [ 0-9a-f]+:	0061 0950 	addu	at,at,v1
    857 [ 0-9a-f]+:	23e1 6001 	cache	0x1f,1\(at\)
    858 [ 0-9a-f]+:	23e3 6fff 	cache	0x1f,-1\(v1\)
    859 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
    860 [ 0-9a-f]+:	0061 0950 	addu	at,at,v1
    861 [ 0-9a-f]+:	23e1 6000 	cache	0x1f,0\(at\)
    862 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
    863 [ 0-9a-f]+:	0061 0950 	addu	at,at,v1
    864 [ 0-9a-f]+:	23e1 6001 	cache	0x1f,1\(at\)
    865 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
    866 [ 0-9a-f]+:	0061 0950 	addu	at,at,v1
    867 [ 0-9a-f]+:	23e1 6fff 	cache	0x1f,-1\(at\)
    868 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
    869 [ 0-9a-f]+:	23e1 6000 	cache	0x1f,0\(at\)
    870 [ 0-9a-f]+:	3020 0800 	li	at,2048
    871 [ 0-9a-f]+:	23e1 6000 	cache	0x1f,0\(at\)
    872 [ 0-9a-f]+:	3020 f7ff 	li	at,-2049
    873 [ 0-9a-f]+:	23e1 6000 	cache	0x1f,0\(at\)
    874 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
    875 [ 0-9a-f]+:	23e1 6001 	cache	0x1f,1\(at\)
    876 [ 0-9a-f]+:	23e0 6fff 	cache	0x1f,-1\(zero\)
    877 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
    878 [ 0-9a-f]+:	23e1 6000 	cache	0x1f,0\(at\)
    879 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
    880 [ 0-9a-f]+:	23e1 6001 	cache	0x1f,1\(at\)
    881 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
    882 [ 0-9a-f]+:	23e1 6fff 	cache	0x1f,-1\(at\)
    883 [ 0-9a-f]+:	0043 4b3c 	clo	v0,v1
    884 [ 0-9a-f]+:	0062 4b3c 	clo	v1,v0
    885 [ 0-9a-f]+:	0043 5b3c 	clz	v0,v1
    886 [ 0-9a-f]+:	0062 5b3c 	clz	v1,v0
    887 [ 0-9a-f]+:	0000 e37c 	deret
    888 [ 0-9a-f]+:	0000 477c 	di
    889 [ 0-9a-f]+:	0000 477c 	di
    890 [ 0-9a-f]+:	0002 477c 	di	v0
    891 [ 0-9a-f]+:	0003 477c 	di	v1
    892 [ 0-9a-f]+:	001e 477c 	di	s8
    893 [ 0-9a-f]+:	001f 477c 	di	ra
    894 [ 0-9a-f]+:	0062 ab3c 	div	zero,v0,v1
    895 [ 0-9a-f]+:	03fe ab3c 	div	zero,s8,ra
    896 [ 0-9a-f]+:	0060 ab3c 	div	zero,zero,v1
    897 [ 0-9a-f]+:	03e0 ab3c 	div	zero,zero,ra
    898 [ 0-9a-f]+:	0000 703c 	teq	zero,zero,0x7
    899 [ 0-9a-f]+:	0004 703c 	teq	a0,zero,0x7
    900 [ 0-9a-f]+:	0083 ab3c 	div	zero,v1,a0
    901 [ 0-9a-f]+:	3020 ffff 	li	at,-1
    902 [ 0-9a-f]+:	b424 fffe 	bne	a0,at,[0-9a-f]+ <test3\+0x[0-9a-f]+>
    903 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
    904 [ 0-9a-f]+:	41a1 8000 	lui	at,0x8000
    905 [ 0-9a-f]+:	0023 603c 	teq	v1,at,0x6
    906 
    907 [0-9a-f]+ <.*>:
    908 [ 0-9a-f]+:	4642      	mflo	v0
    909 [ 0-9a-f]+:	0000 703c 	teq	zero,zero,0x7
    910 [ 0-9a-f]+:	0c64      	move	v1,a0
    911 [ 0-9a-f]+:	0080 1990 	neg	v1,a0
    912 [ 0-9a-f]+:	3020 0002 	li	at,2
    913 [ 0-9a-f]+:	0024 ab3c 	div	zero,a0,at
    914 [ 0-9a-f]+:	4643      	mflo	v1
    915 [ 0-9a-f]+:	0062 bb3c 	divu	zero,v0,v1
    916 [ 0-9a-f]+:	03fe bb3c 	divu	zero,s8,ra
    917 [ 0-9a-f]+:	0060 bb3c 	divu	zero,zero,v1
    918 [ 0-9a-f]+:	03e0 bb3c 	divu	zero,zero,ra
    919 [ 0-9a-f]+:	0000 703c 	teq	zero,zero,0x7
    920 [ 0-9a-f]+:	0003 bb3c 	divu	zero,v1,zero
    921 [ 0-9a-f]+:	4642      	mflo	v0
    922 [ 0-9a-f]+:	0004 703c 	teq	a0,zero,0x7
    923 [ 0-9a-f]+:	0083 bb3c 	divu	zero,v1,a0
    924 [ 0-9a-f]+:	4642      	mflo	v0
    925 [ 0-9a-f]+:	0000 703c 	teq	zero,zero,0x7
    926 [ 0-9a-f]+:	0c64      	move	v1,a0
    927 [ 0-9a-f]+:	3020 ffff 	li	at,-1
    928 [ 0-9a-f]+:	0024 bb3c 	divu	zero,a0,at
    929 [ 0-9a-f]+:	4643      	mflo	v1
    930 [ 0-9a-f]+:	3020 0002 	li	at,2
    931 [ 0-9a-f]+:	0024 bb3c 	divu	zero,a0,at
    932 [ 0-9a-f]+:	4643      	mflo	v1
    933 [ 0-9a-f]+:	0000 577c 	ei
    934 [ 0-9a-f]+:	0000 577c 	ei
    935 [ 0-9a-f]+:	0002 577c 	ei	v0
    936 [ 0-9a-f]+:	0003 577c 	ei	v1
    937 [ 0-9a-f]+:	001e 577c 	ei	s8
    938 [ 0-9a-f]+:	001f 577c 	ei	ra
    939 [ 0-9a-f]+:	0000 f37c 	eret
    940 [ 0-9a-f]+:	0043 716c 	ext	v0,v1,0x5,0xf
    941 [ 0-9a-f]+:	0043 f82c 	ext	v0,v1,0x0,0x20
    942 [ 0-9a-f]+:	0043 07ec 	ext	v0,v1,0x1f,0x1
    943 [ 0-9a-f]+:	03fe 07ec 	ext	ra,s8,0x1f,0x1
    944 [ 0-9a-f]+:	0043 994c 	ins	v0,v1,0x5,0xf
    945 [ 0-9a-f]+:	0043 f80c 	ins	v0,v1,0x0,0x20
    946 [ 0-9a-f]+:	0043 ffcc 	ins	v0,v1,0x1f,0x1
    947 [ 0-9a-f]+:	4580      	jr	zero
    948 [ 0-9a-f]+:	03fe ffcc 	ins	ra,s8,0x1f,0x1
    949 [ 0-9a-f]+:	4582      	jr	v0
    950 [ 0-9a-f]+:	0c00      	nop
    951 [ 0-9a-f]+:	4583      	jr	v1
    952 [ 0-9a-f]+:	0c00      	nop
    953 [ 0-9a-f]+:	4584      	jr	a0
    954 [ 0-9a-f]+:	0c00      	nop
    955 [ 0-9a-f]+:	4585      	jr	a1
    956 [ 0-9a-f]+:	0c00      	nop
    957 [ 0-9a-f]+:	4586      	jr	a2
    958 [ 0-9a-f]+:	0c00      	nop
    959 [ 0-9a-f]+:	4587      	jr	a3
    960 [ 0-9a-f]+:	0c00      	nop
    961 [ 0-9a-f]+:	4588      	jr	t0
    962 [ 0-9a-f]+:	0c00      	nop
    963 [ 0-9a-f]+:	459e      	jr	s8
    964 [ 0-9a-f]+:	0c00      	nop
    965 [ 0-9a-f]+:	459f      	jr	ra
    966 [ 0-9a-f]+:	0c00      	nop
    967 [ 0-9a-f]+:	0000 0f3c 	jr	zero
    968 [ 0-9a-f]+:	0000 0000 	nop
    969 [ 0-9a-f]+:	0002 0f3c 	jr	v0
    970 [ 0-9a-f]+:	0000 0000 	nop
    971 [ 0-9a-f]+:	0003 0f3c 	jr	v1
    972 [ 0-9a-f]+:	0000 0000 	nop
    973 [ 0-9a-f]+:	0004 0f3c 	jr	a0
    974 [ 0-9a-f]+:	0000 0000 	nop
    975 [ 0-9a-f]+:	0005 0f3c 	jr	a1
    976 [ 0-9a-f]+:	0000 0000 	nop
    977 [ 0-9a-f]+:	0006 0f3c 	jr	a2
    978 [ 0-9a-f]+:	0000 0000 	nop
    979 [ 0-9a-f]+:	0007 0f3c 	jr	a3
    980 [ 0-9a-f]+:	0000 0000 	nop
    981 [ 0-9a-f]+:	0008 0f3c 	jr	t0
    982 [ 0-9a-f]+:	0000 0000 	nop
    983 [ 0-9a-f]+:	001e 0f3c 	jr	s8
    984 [ 0-9a-f]+:	0000 0000 	nop
    985 [ 0-9a-f]+:	001f 0f3c 	jr	ra
    986 [ 0-9a-f]+:	0000 0000 	nop
    987 [ 0-9a-f]+:	45a0      	jrc	zero
    988 [ 0-9a-f]+:	45a2      	jrc	v0
    989 [ 0-9a-f]+:	45a3      	jrc	v1
    990 [ 0-9a-f]+:	45a4      	jrc	a0
    991 [ 0-9a-f]+:	45a5      	jrc	a1
    992 [ 0-9a-f]+:	45a6      	jrc	a2
    993 [ 0-9a-f]+:	45a7      	jrc	a3
    994 [ 0-9a-f]+:	45a8      	jrc	t0
    995 [ 0-9a-f]+:	45be      	jrc	s8
    996 [ 0-9a-f]+:	45bf      	jrc	ra
    997 [ 0-9a-f]+:	0000 1f3c 	jr\.hb	zero
    998 [ 0-9a-f]+:	0000 0000 	nop
    999 [ 0-9a-f]+:	0002 1f3c 	jr\.hb	v0
   1000 [ 0-9a-f]+:	0000 0000 	nop
   1001 [ 0-9a-f]+:	0003 1f3c 	jr\.hb	v1
   1002 [ 0-9a-f]+:	0000 0000 	nop
   1003 [ 0-9a-f]+:	0004 1f3c 	jr\.hb	a0
   1004 [ 0-9a-f]+:	0000 0000 	nop
   1005 [ 0-9a-f]+:	0005 1f3c 	jr\.hb	a1
   1006 [ 0-9a-f]+:	0000 0000 	nop
   1007 [ 0-9a-f]+:	0006 1f3c 	jr\.hb	a2
   1008 [ 0-9a-f]+:	0000 0000 	nop
   1009 [ 0-9a-f]+:	0007 1f3c 	jr\.hb	a3
   1010 [ 0-9a-f]+:	0000 0000 	nop
   1011 [ 0-9a-f]+:	0008 1f3c 	jr\.hb	t0
   1012 [ 0-9a-f]+:	0000 0000 	nop
   1013 [ 0-9a-f]+:	001e 1f3c 	jr\.hb	s8
   1014 [ 0-9a-f]+:	0000 0000 	nop
   1015 [ 0-9a-f]+:	001f 1f3c 	jr\.hb	ra
   1016 [ 0-9a-f]+:	0000 0000 	nop
   1017 [ 0-9a-f]+:	4580      	jr	zero
   1018 [ 0-9a-f]+:	0c00      	nop
   1019 [ 0-9a-f]+:	4582      	jr	v0
   1020 [ 0-9a-f]+:	0c00      	nop
   1021 [ 0-9a-f]+:	4583      	jr	v1
   1022 [ 0-9a-f]+:	0c00      	nop
   1023 [ 0-9a-f]+:	4584      	jr	a0
   1024 [ 0-9a-f]+:	0c00      	nop
   1025 [ 0-9a-f]+:	4585      	jr	a1
   1026 [ 0-9a-f]+:	0c00      	nop
   1027 [ 0-9a-f]+:	4586      	jr	a2
   1028 [ 0-9a-f]+:	0c00      	nop
   1029 [ 0-9a-f]+:	4587      	jr	a3
   1030 [ 0-9a-f]+:	0c00      	nop
   1031 [ 0-9a-f]+:	4588      	jr	t0
   1032 [ 0-9a-f]+:	0c00      	nop
   1033 [ 0-9a-f]+:	459e      	jr	s8
   1034 [ 0-9a-f]+:	0c00      	nop
   1035 [ 0-9a-f]+:	459f      	jr	ra
   1036 [ 0-9a-f]+:	0c00      	nop
   1037 [ 0-9a-f]+:	45c0      	jalr	zero
   1038 [ 0-9a-f]+:	0000 0000 	nop
   1039 [ 0-9a-f]+:	45c2      	jalr	v0
   1040 [ 0-9a-f]+:	0000 0000 	nop
   1041 [ 0-9a-f]+:	45c3      	jalr	v1
   1042 [ 0-9a-f]+:	0000 0000 	nop
   1043 [ 0-9a-f]+:	45c4      	jalr	a0
   1044 [ 0-9a-f]+:	0000 0000 	nop
   1045 [ 0-9a-f]+:	45c5      	jalr	a1
   1046 [ 0-9a-f]+:	0000 0000 	nop
   1047 [ 0-9a-f]+:	45c6      	jalr	a2
   1048 [ 0-9a-f]+:	0000 0000 	nop
   1049 [ 0-9a-f]+:	45c7      	jalr	a3
   1050 [ 0-9a-f]+:	0000 0000 	nop
   1051 [ 0-9a-f]+:	45c8      	jalr	t0
   1052 [ 0-9a-f]+:	0000 0000 	nop
   1053 [ 0-9a-f]+:	45de      	jalr	s8
   1054 [ 0-9a-f]+:	0000 0000 	nop
   1055 [ 0-9a-f]+:	03e0 0f3c 	jalr	zero
   1056 [ 0-9a-f]+:	0000 0000 	nop
   1057 [ 0-9a-f]+:	03e2 0f3c 	jalr	v0
   1058 [ 0-9a-f]+:	0000 0000 	nop
   1059 [ 0-9a-f]+:	03e3 0f3c 	jalr	v1
   1060 [ 0-9a-f]+:	0000 0000 	nop
   1061 [ 0-9a-f]+:	03e4 0f3c 	jalr	a0
   1062 [ 0-9a-f]+:	0000 0000 	nop
   1063 [ 0-9a-f]+:	03e5 0f3c 	jalr	a1
   1064 [ 0-9a-f]+:	0000 0000 	nop
   1065 [ 0-9a-f]+:	03e6 0f3c 	jalr	a2
   1066 [ 0-9a-f]+:	0000 0000 	nop
   1067 [ 0-9a-f]+:	03e7 0f3c 	jalr	a3
   1068 [ 0-9a-f]+:	0000 0000 	nop
   1069 [ 0-9a-f]+:	03e8 0f3c 	jalr	t0
   1070 [ 0-9a-f]+:	0000 0000 	nop
   1071 [ 0-9a-f]+:	03fe 0f3c 	jalr	s8
   1072 [ 0-9a-f]+:	0000 0000 	nop
   1073 [ 0-9a-f]+:	45c0      	jalr	zero
   1074 [ 0-9a-f]+:	0000 0000 	nop
   1075 [ 0-9a-f]+:	45c2      	jalr	v0
   1076 [ 0-9a-f]+:	0000 0000 	nop
   1077 [ 0-9a-f]+:	45c3      	jalr	v1
   1078 [ 0-9a-f]+:	0000 0000 	nop
   1079 [ 0-9a-f]+:	45c4      	jalr	a0
   1080 [ 0-9a-f]+:	0000 0000 	nop
   1081 [ 0-9a-f]+:	45c5      	jalr	a1
   1082 [ 0-9a-f]+:	0000 0000 	nop
   1083 [ 0-9a-f]+:	45c6      	jalr	a2
   1084 [ 0-9a-f]+:	0000 0000 	nop
   1085 [ 0-9a-f]+:	45c7      	jalr	a3
   1086 [ 0-9a-f]+:	0000 0000 	nop
   1087 [ 0-9a-f]+:	45c8      	jalr	t0
   1088 [ 0-9a-f]+:	0000 0000 	nop
   1089 [ 0-9a-f]+:	45de      	jalr	s8
   1090 [ 0-9a-f]+:	0000 0000 	nop
   1091 [ 0-9a-f]+:	03df 0f3c 	jalr	s8,ra
   1092 [ 0-9a-f]+:	0000 0000 	nop
   1093 [ 0-9a-f]+:	0040 0f3c 	jalr	v0,zero
   1094 [ 0-9a-f]+:	0000 0000 	nop
   1095 [ 0-9a-f]+:	0062 0f3c 	jalr	v1,v0
   1096 [ 0-9a-f]+:	0000 0000 	nop
   1097 [ 0-9a-f]+:	0043 0f3c 	jalr	v0,v1
   1098 [ 0-9a-f]+:	0000 0000 	nop
   1099 [ 0-9a-f]+:	0044 0f3c 	jalr	v0,a0
   1100 [ 0-9a-f]+:	0000 0000 	nop
   1101 [ 0-9a-f]+:	0045 0f3c 	jalr	v0,a1
   1102 [ 0-9a-f]+:	0000 0000 	nop
   1103 [ 0-9a-f]+:	0046 0f3c 	jalr	v0,a2
   1104 [ 0-9a-f]+:	0000 0000 	nop
   1105 [ 0-9a-f]+:	0047 0f3c 	jalr	v0,a3
   1106 [ 0-9a-f]+:	0000 0000 	nop
   1107 [ 0-9a-f]+:	0048 0f3c 	jalr	v0,t0
   1108 [ 0-9a-f]+:	0000 0000 	nop
   1109 [ 0-9a-f]+:	005e 0f3c 	jalr	v0,s8
   1110 [ 0-9a-f]+:	0000 0000 	nop
   1111 [ 0-9a-f]+:	005f 0f3c 	jalr	v0,ra
   1112 [ 0-9a-f]+:	0000 0000 	nop
   1113 [ 0-9a-f]+:	03e0 1f3c 	jalr\.hb	zero
   1114 [ 0-9a-f]+:	0000 0000 	nop
   1115 [ 0-9a-f]+:	03e2 1f3c 	jalr\.hb	v0
   1116 [ 0-9a-f]+:	0000 0000 	nop
   1117 [ 0-9a-f]+:	03e3 1f3c 	jalr\.hb	v1
   1118 [ 0-9a-f]+:	0000 0000 	nop
   1119 [ 0-9a-f]+:	03e4 1f3c 	jalr\.hb	a0
   1120 [ 0-9a-f]+:	0000 0000 	nop
   1121 [ 0-9a-f]+:	03e5 1f3c 	jalr\.hb	a1
   1122 [ 0-9a-f]+:	0000 0000 	nop
   1123 [ 0-9a-f]+:	03e6 1f3c 	jalr\.hb	a2
   1124 [ 0-9a-f]+:	0000 0000 	nop
   1125 [ 0-9a-f]+:	03e7 1f3c 	jalr\.hb	a3
   1126 [ 0-9a-f]+:	0000 0000 	nop
   1127 [ 0-9a-f]+:	03e8 1f3c 	jalr\.hb	t0
   1128 [ 0-9a-f]+:	0000 0000 	nop
   1129 [ 0-9a-f]+:	03fe 1f3c 	jalr\.hb	s8
   1130 [ 0-9a-f]+:	0000 0000 	nop
   1131 [ 0-9a-f]+:	03e0 1f3c 	jalr\.hb	zero
   1132 [ 0-9a-f]+:	0000 0000 	nop
   1133 [ 0-9a-f]+:	03e2 1f3c 	jalr\.hb	v0
   1134 [ 0-9a-f]+:	0000 0000 	nop
   1135 [ 0-9a-f]+:	03e3 1f3c 	jalr\.hb	v1
   1136 [ 0-9a-f]+:	0000 0000 	nop
   1137 [ 0-9a-f]+:	03e4 1f3c 	jalr\.hb	a0
   1138 [ 0-9a-f]+:	0000 0000 	nop
   1139 [ 0-9a-f]+:	03e5 1f3c 	jalr\.hb	a1
   1140 [ 0-9a-f]+:	0000 0000 	nop
   1141 [ 0-9a-f]+:	03e6 1f3c 	jalr\.hb	a2
   1142 [ 0-9a-f]+:	0000 0000 	nop
   1143 [ 0-9a-f]+:	03e7 1f3c 	jalr\.hb	a3
   1144 [ 0-9a-f]+:	0000 0000 	nop
   1145 [ 0-9a-f]+:	03e8 1f3c 	jalr\.hb	t0
   1146 [ 0-9a-f]+:	0000 0000 	nop
   1147 [ 0-9a-f]+:	03fe 1f3c 	jalr\.hb	s8
   1148 [ 0-9a-f]+:	0000 0000 	nop
   1149 [ 0-9a-f]+:	03df 1f3c 	jalr\.hb	s8,ra
   1150 [ 0-9a-f]+:	0000 0000 	nop
   1151 [ 0-9a-f]+:	0040 1f3c 	jalr\.hb	v0,zero
   1152 [ 0-9a-f]+:	0000 0000 	nop
   1153 [ 0-9a-f]+:	0062 1f3c 	jalr\.hb	v1,v0
   1154 [ 0-9a-f]+:	0000 0000 	nop
   1155 [ 0-9a-f]+:	0043 1f3c 	jalr\.hb	v0,v1
   1156 [ 0-9a-f]+:	0000 0000 	nop
   1157 [ 0-9a-f]+:	0044 1f3c 	jalr\.hb	v0,a0
   1158 [ 0-9a-f]+:	0000 0000 	nop
   1159 [ 0-9a-f]+:	0045 1f3c 	jalr\.hb	v0,a1
   1160 [ 0-9a-f]+:	0000 0000 	nop
   1161 [ 0-9a-f]+:	0046 1f3c 	jalr\.hb	v0,a2
   1162 [ 0-9a-f]+:	0000 0000 	nop
   1163 [ 0-9a-f]+:	0047 1f3c 	jalr\.hb	v0,a3
   1164 [ 0-9a-f]+:	0000 0000 	nop
   1165 [ 0-9a-f]+:	0048 1f3c 	jalr\.hb	v0,t0
   1166 [ 0-9a-f]+:	0000 0000 	nop
   1167 [ 0-9a-f]+:	005e 1f3c 	jalr\.hb	v0,s8
   1168 [ 0-9a-f]+:	0000 0000 	nop
   1169 [ 0-9a-f]+:	005f 1f3c 	jalr\.hb	v0,ra
   1170 [ 0-9a-f]+:	0000 0000 	nop
   1171 [ 0-9a-f]+:	0043 0f3c 	jalr	v0,v1
   1172 [ 0-9a-f]+:	0000 0000 	nop
   1173 [ 0-9a-f]+:	03df 0f3c 	jalr	s8,ra
   1174 [ 0-9a-f]+:	0000 0000 	nop
   1175 [ 0-9a-f]+:	45c3      	jalr	v1
   1176 [ 0-9a-f]+:	0000 0000 	nop
   1177 [ 0-9a-f]+:	45df      	jalr	ra
   1178 [ 0-9a-f]+:	0000 0000 	nop
   1179 [ 0-9a-f]+:	f400 0000 	jal	[0-9a-f]+ <test>
   1180 [ 	]*[0-9a-f]+: R_MICROMIPS_26_S1	test
   1181 [ 0-9a-f]+:	0000 0000 	nop
   1182 [ 0-9a-f]+:	f400 0000 	jal	[0-9a-f]+ <test>
   1183 [ 	]*[0-9a-f]+: R_MICROMIPS_26_S1	test2
   1184 [ 0-9a-f]+:	0000 0000 	nop
   1185 [ 0-9a-f]+:	f000 0000 	jalx	[0-9a-f]+ <test>
   1186 [ 	]*[0-9a-f]+: R_MICROMIPS_26_S1	test
   1187 [ 0-9a-f]+:	0000 0000 	nop
   1188 [ 0-9a-f]+:	f000 0000 	jalx	[0-9a-f]+ <test>
   1189 [ 	]*[0-9a-f]+: R_MICROMIPS_26_S1	test2
   1190 [ 0-9a-f]+:	0000 0000 	nop
   1191 [ 0-9a-f]+:	41a2 0000 	lui	v0,0x0
   1192 [ 	]*[0-9a-f]+: R_MICROMIPS_HI16	test
   1193 [ 0-9a-f]+:	3042 0000 	addiu	v0,v0,0
   1194 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   1195 [ 0-9a-f]+:	41a2 0000 	lui	v0,0x0
   1196 [ 	]*[0-9a-f]+: R_MICROMIPS_HI16	test
   1197 [ 0-9a-f]+:	3042 0000 	addiu	v0,v0,0
   1198 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   1199 [ 0-9a-f]+:	1c60 0000 	lb	v1,0\(zero\)
   1200 [ 0-9a-f]+:	1c60 0004 	lb	v1,4\(zero\)
   1201 [ 0-9a-f]+:	1c60 0000 	lb	v1,0\(zero\)
   1202 [ 0-9a-f]+:	1c60 0004 	lb	v1,4\(zero\)
   1203 [ 0-9a-f]+:	1c60 7fff 	lb	v1,32767\(zero\)
   1204 [ 0-9a-f]+:	1c60 8000 	lb	v1,-32768\(zero\)
   1205 [ 0-9a-f]+:	41a3 0001 	lui	v1,0x1
   1206 [ 0-9a-f]+:	1c63 ffff 	lb	v1,-1\(v1\)
   1207 [ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
   1208 [ 0-9a-f]+:	1c63 0000 	lb	v1,0\(v1\)
   1209 [ 0-9a-f]+:	1c60 8000 	lb	v1,-32768\(zero\)
   1210 [ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
   1211 [ 0-9a-f]+:	1c63 0001 	lb	v1,1\(v1\)
   1212 [ 0-9a-f]+:	1c60 8001 	lb	v1,-32767\(zero\)
   1213 [ 0-9a-f]+:	41a3 f000 	lui	v1,0xf000
   1214 [ 0-9a-f]+:	1c63 0000 	lb	v1,0\(v1\)
   1215 [ 0-9a-f]+:	1c60 ffff 	lb	v1,-1\(zero\)
   1216 [ 0-9a-f]+:	41a3 1234 	lui	v1,0x1234
   1217 [ 0-9a-f]+:	1c63 5678 	lb	v1,22136\(v1\)
   1218 [ 0-9a-f]+:	1c64 0000 	lb	v1,0\(a0\)
   1219 [ 0-9a-f]+:	1c64 0000 	lb	v1,0\(a0\)
   1220 [ 0-9a-f]+:	1c64 0004 	lb	v1,4\(a0\)
   1221 [ 0-9a-f]+:	1c64 7fff 	lb	v1,32767\(a0\)
   1222 [ 0-9a-f]+:	1c64 8000 	lb	v1,-32768\(a0\)
   1223 [ 0-9a-f]+:	41a3 0001 	lui	v1,0x1
   1224 [ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
   1225 [ 0-9a-f]+:	1c63 ffff 	lb	v1,-1\(v1\)
   1226 [ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
   1227 [ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
   1228 [ 0-9a-f]+:	1c63 0000 	lb	v1,0\(v1\)
   1229 [ 0-9a-f]+:	1c64 8000 	lb	v1,-32768\(a0\)
   1230 [ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
   1231 [ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
   1232 [ 0-9a-f]+:	1c63 0001 	lb	v1,1\(v1\)
   1233 [ 0-9a-f]+:	1c64 8001 	lb	v1,-32767\(a0\)
   1234 [ 0-9a-f]+:	41a3 f000 	lui	v1,0xf000
   1235 [ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
   1236 [ 0-9a-f]+:	1c63 0000 	lb	v1,0\(v1\)
   1237 [ 0-9a-f]+:	1c64 ffff 	lb	v1,-1\(a0\)
   1238 [ 0-9a-f]+:	41a3 1234 	lui	v1,0x1234
   1239 [ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
   1240 [ 0-9a-f]+:	1c63 5678 	lb	v1,22136\(v1\)
   1241 [ 0-9a-f]+:	093f      	lbu	v0,-1\(v1\)
   1242 [ 0-9a-f]+:	0930      	lbu	v0,0\(v1\)
   1243 [ 0-9a-f]+:	0930      	lbu	v0,0\(v1\)
   1244 [ 0-9a-f]+:	0931      	lbu	v0,1\(v1\)
   1245 [ 0-9a-f]+:	0932      	lbu	v0,2\(v1\)
   1246 [ 0-9a-f]+:	0933      	lbu	v0,3\(v1\)
   1247 [ 0-9a-f]+:	0934      	lbu	v0,4\(v1\)
   1248 [ 0-9a-f]+:	0935      	lbu	v0,5\(v1\)
   1249 [ 0-9a-f]+:	0936      	lbu	v0,6\(v1\)
   1250 [ 0-9a-f]+:	0937      	lbu	v0,7\(v1\)
   1251 [ 0-9a-f]+:	0938      	lbu	v0,8\(v1\)
   1252 [ 0-9a-f]+:	0939      	lbu	v0,9\(v1\)
   1253 [ 0-9a-f]+:	093a      	lbu	v0,10\(v1\)
   1254 [ 0-9a-f]+:	093b      	lbu	v0,11\(v1\)
   1255 [ 0-9a-f]+:	093c      	lbu	v0,12\(v1\)
   1256 [ 0-9a-f]+:	093d      	lbu	v0,13\(v1\)
   1257 [ 0-9a-f]+:	093e      	lbu	v0,14\(v1\)
   1258 [ 0-9a-f]+:	092e      	lbu	v0,14\(v0\)
   1259 [ 0-9a-f]+:	094e      	lbu	v0,14\(a0\)
   1260 [ 0-9a-f]+:	095e      	lbu	v0,14\(a1\)
   1261 [ 0-9a-f]+:	096e      	lbu	v0,14\(a2\)
   1262 [ 0-9a-f]+:	097e      	lbu	v0,14\(a3\)
   1263 [ 0-9a-f]+:	090e      	lbu	v0,14\(s0\)
   1264 [ 0-9a-f]+:	091e      	lbu	v0,14\(s1\)
   1265 [ 0-9a-f]+:	099e      	lbu	v1,14\(s1\)
   1266 [ 0-9a-f]+:	0a1e      	lbu	a0,14\(s1\)
   1267 [ 0-9a-f]+:	0a9e      	lbu	a1,14\(s1\)
   1268 [ 0-9a-f]+:	0b1e      	lbu	a2,14\(s1\)
   1269 [ 0-9a-f]+:	0b9e      	lbu	a3,14\(s1\)
   1270 [ 0-9a-f]+:	081e      	lbu	s0,14\(s1\)
   1271 [ 0-9a-f]+:	089e      	lbu	s1,14\(s1\)
   1272 [ 0-9a-f]+:	1460 0000 	lbu	v1,0\(zero\)
   1273 [ 0-9a-f]+:	1460 0004 	lbu	v1,4\(zero\)
   1274 [ 0-9a-f]+:	1460 0000 	lbu	v1,0\(zero\)
   1275 [ 0-9a-f]+:	1460 0004 	lbu	v1,4\(zero\)
   1276 [ 0-9a-f]+:	1460 7fff 	lbu	v1,32767\(zero\)
   1277 [ 0-9a-f]+:	1460 8000 	lbu	v1,-32768\(zero\)
   1278 [ 0-9a-f]+:	41a3 0001 	lui	v1,0x1
   1279 [ 0-9a-f]+:	1463 ffff 	lbu	v1,-1\(v1\)
   1280 [ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
   1281 [ 0-9a-f]+:	1463 0000 	lbu	v1,0\(v1\)
   1282 [ 0-9a-f]+:	1460 8000 	lbu	v1,-32768\(zero\)
   1283 [ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
   1284 [ 0-9a-f]+:	1463 0001 	lbu	v1,1\(v1\)
   1285 [ 0-9a-f]+:	1460 8001 	lbu	v1,-32767\(zero\)
   1286 [ 0-9a-f]+:	41a3 f000 	lui	v1,0xf000
   1287 [ 0-9a-f]+:	1463 0000 	lbu	v1,0\(v1\)
   1288 [ 0-9a-f]+:	1460 ffff 	lbu	v1,-1\(zero\)
   1289 [ 0-9a-f]+:	41a3 1234 	lui	v1,0x1234
   1290 [ 0-9a-f]+:	1463 5678 	lbu	v1,22136\(v1\)
   1291 [ 0-9a-f]+:	09c0      	lbu	v1,0\(a0\)
   1292 [ 0-9a-f]+:	09c0      	lbu	v1,0\(a0\)
   1293 [ 0-9a-f]+:	09c4      	lbu	v1,4\(a0\)
   1294 [ 0-9a-f]+:	1464 7fff 	lbu	v1,32767\(a0\)
   1295 [ 0-9a-f]+:	1464 8000 	lbu	v1,-32768\(a0\)
   1296 [ 0-9a-f]+:	41a3 0001 	lui	v1,0x1
   1297 [ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
   1298 [ 0-9a-f]+:	1463 ffff 	lbu	v1,-1\(v1\)
   1299 [ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
   1300 [ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
   1301 [ 0-9a-f]+:	1463 0000 	lbu	v1,0\(v1\)
   1302 [ 0-9a-f]+:	1464 8000 	lbu	v1,-32768\(a0\)
   1303 [ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
   1304 [ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
   1305 [ 0-9a-f]+:	1463 0001 	lbu	v1,1\(v1\)
   1306 [ 0-9a-f]+:	1464 8001 	lbu	v1,-32767\(a0\)
   1307 [ 0-9a-f]+:	41a3 f000 	lui	v1,0xf000
   1308 [ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
   1309 [ 0-9a-f]+:	1463 0000 	lbu	v1,0\(v1\)
   1310 [ 0-9a-f]+:	1464 ffff 	lbu	v1,-1\(a0\)
   1311 [ 0-9a-f]+:	41a3 1234 	lui	v1,0x1234
   1312 [ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
   1313 [ 0-9a-f]+:	1463 5678 	lbu	v1,22136\(v1\)
   1314 [ 0-9a-f]+:	3c60 0000 	lh	v1,0\(zero\)
   1315 [ 0-9a-f]+:	3c60 0004 	lh	v1,4\(zero\)
   1316 [ 0-9a-f]+:	3c60 0000 	lh	v1,0\(zero\)
   1317 [ 0-9a-f]+:	3c60 0004 	lh	v1,4\(zero\)
   1318 [ 0-9a-f]+:	3c60 7fff 	lh	v1,32767\(zero\)
   1319 [ 0-9a-f]+:	3c60 8000 	lh	v1,-32768\(zero\)
   1320 [ 0-9a-f]+:	41a3 0001 	lui	v1,0x1
   1321 [ 0-9a-f]+:	3c63 ffff 	lh	v1,-1\(v1\)
   1322 [ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
   1323 [ 0-9a-f]+:	3c63 0000 	lh	v1,0\(v1\)
   1324 [ 0-9a-f]+:	3c60 8000 	lh	v1,-32768\(zero\)
   1325 [ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
   1326 [ 0-9a-f]+:	3c63 0001 	lh	v1,1\(v1\)
   1327 [ 0-9a-f]+:	3c60 8001 	lh	v1,-32767\(zero\)
   1328 [ 0-9a-f]+:	41a3 f000 	lui	v1,0xf000
   1329 [ 0-9a-f]+:	3c63 0000 	lh	v1,0\(v1\)
   1330 [ 0-9a-f]+:	3c60 ffff 	lh	v1,-1\(zero\)
   1331 [ 0-9a-f]+:	41a3 1234 	lui	v1,0x1234
   1332 [ 0-9a-f]+:	3c63 5678 	lh	v1,22136\(v1\)
   1333 [ 0-9a-f]+:	3c64 0000 	lh	v1,0\(a0\)
   1334 [ 0-9a-f]+:	3c64 0000 	lh	v1,0\(a0\)
   1335 [ 0-9a-f]+:	3c64 0004 	lh	v1,4\(a0\)
   1336 [ 0-9a-f]+:	3c64 7fff 	lh	v1,32767\(a0\)
   1337 [ 0-9a-f]+:	3c64 8000 	lh	v1,-32768\(a0\)
   1338 [ 0-9a-f]+:	41a3 0001 	lui	v1,0x1
   1339 [ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
   1340 [ 0-9a-f]+:	3c63 ffff 	lh	v1,-1\(v1\)
   1341 [ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
   1342 [ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
   1343 [ 0-9a-f]+:	3c63 0000 	lh	v1,0\(v1\)
   1344 [ 0-9a-f]+:	3c64 8000 	lh	v1,-32768\(a0\)
   1345 [ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
   1346 [ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
   1347 [ 0-9a-f]+:	3c63 0001 	lh	v1,1\(v1\)
   1348 [ 0-9a-f]+:	3c64 8001 	lh	v1,-32767\(a0\)
   1349 [ 0-9a-f]+:	41a3 f000 	lui	v1,0xf000
   1350 [ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
   1351 [ 0-9a-f]+:	3c63 0000 	lh	v1,0\(v1\)
   1352 [ 0-9a-f]+:	3c64 ffff 	lh	v1,-1\(a0\)
   1353 [ 0-9a-f]+:	41a3 1234 	lui	v1,0x1234
   1354 [ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
   1355 [ 0-9a-f]+:	3c63 5678 	lh	v1,22136\(v1\)
   1356 [ 0-9a-f]+:	2930      	lhu	v0,0\(v1\)
   1357 [ 0-9a-f]+:	2930      	lhu	v0,0\(v1\)
   1358 [ 0-9a-f]+:	2931      	lhu	v0,2\(v1\)
   1359 [ 0-9a-f]+:	2932      	lhu	v0,4\(v1\)
   1360 [ 0-9a-f]+:	2933      	lhu	v0,6\(v1\)
   1361 [ 0-9a-f]+:	2934      	lhu	v0,8\(v1\)
   1362 [ 0-9a-f]+:	2935      	lhu	v0,10\(v1\)
   1363 [ 0-9a-f]+:	2936      	lhu	v0,12\(v1\)
   1364 [ 0-9a-f]+:	2937      	lhu	v0,14\(v1\)
   1365 [ 0-9a-f]+:	2938      	lhu	v0,16\(v1\)
   1366 [ 0-9a-f]+:	2939      	lhu	v0,18\(v1\)
   1367 [ 0-9a-f]+:	293a      	lhu	v0,20\(v1\)
   1368 [ 0-9a-f]+:	293b      	lhu	v0,22\(v1\)
   1369 [ 0-9a-f]+:	293c      	lhu	v0,24\(v1\)
   1370 [ 0-9a-f]+:	293d      	lhu	v0,26\(v1\)
   1371 [ 0-9a-f]+:	293e      	lhu	v0,28\(v1\)
   1372 [ 0-9a-f]+:	293f      	lhu	v0,30\(v1\)
   1373 [ 0-9a-f]+:	294f      	lhu	v0,30\(a0\)
   1374 [ 0-9a-f]+:	295f      	lhu	v0,30\(a1\)
   1375 [ 0-9a-f]+:	296f      	lhu	v0,30\(a2\)
   1376 [ 0-9a-f]+:	297f      	lhu	v0,30\(a3\)
   1377 [ 0-9a-f]+:	292f      	lhu	v0,30\(v0\)
   1378 [ 0-9a-f]+:	290f      	lhu	v0,30\(s0\)
   1379 [ 0-9a-f]+:	291f      	lhu	v0,30\(s1\)
   1380 [ 0-9a-f]+:	299f      	lhu	v1,30\(s1\)
   1381 [ 0-9a-f]+:	2a1f      	lhu	a0,30\(s1\)
   1382 [ 0-9a-f]+:	2a9f      	lhu	a1,30\(s1\)
   1383 [ 0-9a-f]+:	2b1f      	lhu	a2,30\(s1\)
   1384 [ 0-9a-f]+:	2b9f      	lhu	a3,30\(s1\)
   1385 [ 0-9a-f]+:	281f      	lhu	s0,30\(s1\)
   1386 [ 0-9a-f]+:	289f      	lhu	s1,30\(s1\)
   1387 [ 0-9a-f]+:	3460 0000 	lhu	v1,0\(zero\)
   1388 [ 0-9a-f]+:	3460 0004 	lhu	v1,4\(zero\)
   1389 [ 0-9a-f]+:	3460 0000 	lhu	v1,0\(zero\)
   1390 [ 0-9a-f]+:	3460 0004 	lhu	v1,4\(zero\)
   1391 [ 0-9a-f]+:	3460 7fff 	lhu	v1,32767\(zero\)
   1392 [ 0-9a-f]+:	3460 8000 	lhu	v1,-32768\(zero\)
   1393 [ 0-9a-f]+:	41a3 0001 	lui	v1,0x1
   1394 [ 0-9a-f]+:	3463 ffff 	lhu	v1,-1\(v1\)
   1395 [ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
   1396 [ 0-9a-f]+:	3463 0000 	lhu	v1,0\(v1\)
   1397 [ 0-9a-f]+:	3460 8000 	lhu	v1,-32768\(zero\)
   1398 [ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
   1399 [ 0-9a-f]+:	3463 0001 	lhu	v1,1\(v1\)
   1400 [ 0-9a-f]+:	3460 8001 	lhu	v1,-32767\(zero\)
   1401 [ 0-9a-f]+:	41a3 f000 	lui	v1,0xf000
   1402 [ 0-9a-f]+:	3463 0000 	lhu	v1,0\(v1\)
   1403 [ 0-9a-f]+:	3460 ffff 	lhu	v1,-1\(zero\)
   1404 [ 0-9a-f]+:	41a3 1234 	lui	v1,0x1234
   1405 [ 0-9a-f]+:	3463 5678 	lhu	v1,22136\(v1\)
   1406 [ 0-9a-f]+:	29c0      	lhu	v1,0\(a0\)
   1407 [ 0-9a-f]+:	29c0      	lhu	v1,0\(a0\)
   1408 [ 0-9a-f]+:	29c2      	lhu	v1,4\(a0\)
   1409 [ 0-9a-f]+:	3464 7fff 	lhu	v1,32767\(a0\)
   1410 [ 0-9a-f]+:	3464 8000 	lhu	v1,-32768\(a0\)
   1411 [ 0-9a-f]+:	41a3 0001 	lui	v1,0x1
   1412 [ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
   1413 [ 0-9a-f]+:	3463 ffff 	lhu	v1,-1\(v1\)
   1414 [ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
   1415 [ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
   1416 [ 0-9a-f]+:	3463 0000 	lhu	v1,0\(v1\)
   1417 [ 0-9a-f]+:	3464 8000 	lhu	v1,-32768\(a0\)
   1418 [ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
   1419 [ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
   1420 [ 0-9a-f]+:	3463 0001 	lhu	v1,1\(v1\)
   1421 [ 0-9a-f]+:	3464 8001 	lhu	v1,-32767\(a0\)
   1422 [ 0-9a-f]+:	41a3 f000 	lui	v1,0xf000
   1423 [ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
   1424 [ 0-9a-f]+:	3463 0000 	lhu	v1,0\(v1\)
   1425 [ 0-9a-f]+:	3464 ffff 	lhu	v1,-1\(a0\)
   1426 [ 0-9a-f]+:	41a3 1234 	lui	v1,0x1234
   1427 [ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
   1428 [ 0-9a-f]+:	3463 5678 	lhu	v1,22136\(v1\)
   1429 [ 0-9a-f]+:	6060 3000 	ll	v1,0\(zero\)
   1430 [ 0-9a-f]+:	6060 3000 	ll	v1,0\(zero\)
   1431 [ 0-9a-f]+:	6060 3004 	ll	v1,4\(zero\)
   1432 [ 0-9a-f]+:	6060 3004 	ll	v1,4\(zero\)
   1433 [ 0-9a-f]+:	3060 7fff 	li	v1,32767
   1434 [ 0-9a-f]+:	6063 3000 	ll	v1,0\(v1\)
   1435 [ 0-9a-f]+:	3060 8000 	li	v1,-32768
   1436 [ 0-9a-f]+:	6063 3000 	ll	v1,0\(v1\)
   1437 [ 0-9a-f]+:	41a3 0001 	lui	v1,0x1
   1438 [ 0-9a-f]+:	6063 3fff 	ll	v1,-1\(v1\)
   1439 [ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
   1440 [ 0-9a-f]+:	6063 3000 	ll	v1,0\(v1\)
   1441 [ 0-9a-f]+:	3060 8000 	li	v1,-32768
   1442 [ 0-9a-f]+:	6063 3000 	ll	v1,0\(v1\)
   1443 [ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
   1444 [ 0-9a-f]+:	6063 3001 	ll	v1,1\(v1\)
   1445 [ 0-9a-f]+:	3060 8001 	li	v1,-32767
   1446 [ 0-9a-f]+:	6063 3000 	ll	v1,0\(v1\)
   1447 [ 0-9a-f]+:	41a3 f000 	lui	v1,0xf000
   1448 [ 0-9a-f]+:	6063 3000 	ll	v1,0\(v1\)
   1449 [ 0-9a-f]+:	6060 3fff 	ll	v1,-1\(zero\)
   1450 [ 0-9a-f]+:	41a3 1234 	lui	v1,0x1234
   1451 [ 0-9a-f]+:	5063 5000 	ori	v1,v1,0x5000
   1452 [ 0-9a-f]+:	6063 3678 	ll	v1,1656\(v1\)
   1453 [ 0-9a-f]+:	6064 3000 	ll	v1,0\(a0\)
   1454 [ 0-9a-f]+:	6064 3000 	ll	v1,0\(a0\)
   1455 [ 0-9a-f]+:	6064 3004 	ll	v1,4\(a0\)
   1456 [ 0-9a-f]+:	3064 7fff 	addiu	v1,a0,32767
   1457 [ 0-9a-f]+:	6063 3000 	ll	v1,0\(v1\)
   1458 [ 0-9a-f]+:	3064 8000 	addiu	v1,a0,-32768
   1459 [ 0-9a-f]+:	6063 3000 	ll	v1,0\(v1\)
   1460 [ 0-9a-f]+:	41a3 0001 	lui	v1,0x1
   1461 [ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
   1462 [ 0-9a-f]+:	6063 3fff 	ll	v1,-1\(v1\)
   1463 [ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
   1464 [ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
   1465 [ 0-9a-f]+:	6063 3000 	ll	v1,0\(v1\)
   1466 [ 0-9a-f]+:	3064 8000 	addiu	v1,a0,-32768
   1467 [ 0-9a-f]+:	6063 3000 	ll	v1,0\(v1\)
   1468 [ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
   1469 [ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
   1470 [ 0-9a-f]+:	6063 3001 	ll	v1,1\(v1\)
   1471 [ 0-9a-f]+:	3064 8001 	addiu	v1,a0,-32767
   1472 [ 0-9a-f]+:	6063 3000 	ll	v1,0\(v1\)
   1473 [ 0-9a-f]+:	41a3 f000 	lui	v1,0xf000
   1474 [ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
   1475 [ 0-9a-f]+:	6063 3000 	ll	v1,0\(v1\)
   1476 [ 0-9a-f]+:	6064 3fff 	ll	v1,-1\(a0\)
   1477 [ 0-9a-f]+:	41a3 1234 	lui	v1,0x1234
   1478 [ 0-9a-f]+:	5063 5000 	ori	v1,v1,0x5000
   1479 [ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
   1480 [ 0-9a-f]+:	6063 3678 	ll	v1,1656\(v1\)
   1481 [ 0-9a-f]+:	41a3 0000 	lui	v1,0x0
   1482 [ 0-9a-f]+:	41a3 7fff 	lui	v1,0x7fff
   1483 [ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
   1484 [ 0-9a-f]+:	6940      	lw	v0,0\(a0\)
   1485 [ 0-9a-f]+:	6940      	lw	v0,0\(a0\)
   1486 [ 0-9a-f]+:	6941      	lw	v0,4\(a0\)
   1487 [ 0-9a-f]+:	6942      	lw	v0,8\(a0\)
   1488 [ 0-9a-f]+:	6943      	lw	v0,12\(a0\)
   1489 [ 0-9a-f]+:	6944      	lw	v0,16\(a0\)
   1490 [ 0-9a-f]+:	6945      	lw	v0,20\(a0\)
   1491 [ 0-9a-f]+:	6946      	lw	v0,24\(a0\)
   1492 [ 0-9a-f]+:	6947      	lw	v0,28\(a0\)
   1493 [ 0-9a-f]+:	6948      	lw	v0,32\(a0\)
   1494 [ 0-9a-f]+:	6949      	lw	v0,36\(a0\)
   1495 [ 0-9a-f]+:	694a      	lw	v0,40\(a0\)
   1496 [ 0-9a-f]+:	694b      	lw	v0,44\(a0\)
   1497 [ 0-9a-f]+:	694c      	lw	v0,48\(a0\)
   1498 [ 0-9a-f]+:	694d      	lw	v0,52\(a0\)
   1499 [ 0-9a-f]+:	694e      	lw	v0,56\(a0\)
   1500 [ 0-9a-f]+:	694f      	lw	v0,60\(a0\)
   1501 [ 0-9a-f]+:	695f      	lw	v0,60\(a1\)
   1502 [ 0-9a-f]+:	696f      	lw	v0,60\(a2\)
   1503 [ 0-9a-f]+:	697f      	lw	v0,60\(a3\)
   1504 [ 0-9a-f]+:	692f      	lw	v0,60\(v0\)
   1505 [ 0-9a-f]+:	693f      	lw	v0,60\(v1\)
   1506 [ 0-9a-f]+:	690f      	lw	v0,60\(s0\)
   1507 [ 0-9a-f]+:	691f      	lw	v0,60\(s1\)
   1508 [ 0-9a-f]+:	699f      	lw	v1,60\(s1\)
   1509 [ 0-9a-f]+:	6a1f      	lw	a0,60\(s1\)
   1510 [ 0-9a-f]+:	6a9f      	lw	a1,60\(s1\)
   1511 [ 0-9a-f]+:	6b1f      	lw	a2,60\(s1\)
   1512 [ 0-9a-f]+:	6b9f      	lw	a3,60\(s1\)
   1513 [ 0-9a-f]+:	681f      	lw	s0,60\(s1\)
   1514 [ 0-9a-f]+:	689f      	lw	s1,60\(s1\)
   1515 [ 0-9a-f]+:	4880      	lw	a0,0\(sp\)
   1516 [ 0-9a-f]+:	4880      	lw	a0,0\(sp\)
   1517 [ 0-9a-f]+:	4881      	lw	a0,4\(sp\)
   1518 [ 0-9a-f]+:	4882      	lw	a0,8\(sp\)
   1519 [ 0-9a-f]+:	4883      	lw	a0,12\(sp\)
   1520 [ 0-9a-f]+:	4884      	lw	a0,16\(sp\)
   1521 [ 0-9a-f]+:	4885      	lw	a0,20\(sp\)
   1522 [ 0-9a-f]+:	489f      	lw	a0,124\(sp\)
   1523 [ 0-9a-f]+:	485f      	lw	v0,124\(sp\)
   1524 [ 0-9a-f]+:	485f      	lw	v0,124\(sp\)
   1525 [ 0-9a-f]+:	487f      	lw	v1,124\(sp\)
   1526 [ 0-9a-f]+:	489f      	lw	a0,124\(sp\)
   1527 [ 0-9a-f]+:	48bf      	lw	a1,124\(sp\)
   1528 [ 0-9a-f]+:	48df      	lw	a2,124\(sp\)
   1529 [ 0-9a-f]+:	48ff      	lw	a3,124\(sp\)
   1530 [ 0-9a-f]+:	491f      	lw	t0,124\(sp\)
   1531 [ 0-9a-f]+:	493f      	lw	t1,124\(sp\)
   1532 [ 0-9a-f]+:	495f      	lw	t2,124\(sp\)
   1533 [ 0-9a-f]+:	4bdf      	lw	s8,124\(sp\)
   1534 [ 0-9a-f]+:	4bff      	lw	ra,124\(sp\)
   1535 [ 0-9a-f]+:	fc9d 01f8 	lw	a0,504\(sp\)
   1536 [ 0-9a-f]+:	fc9d 01fc 	lw	a0,508\(sp\)
   1537 [ 0-9a-f]+:	fe1d 01fc 	lw	s0,508\(sp\)
   1538 [ 0-9a-f]+:	fe3d 01fc 	lw	s1,508\(sp\)
   1539 [ 0-9a-f]+:	fe5d 01fc 	lw	s2,508\(sp\)
   1540 [ 0-9a-f]+:	fe7d 01fc 	lw	s3,508\(sp\)
   1541 [ 0-9a-f]+:	fe9d 01fc 	lw	s4,508\(sp\)
   1542 [ 0-9a-f]+:	febd 01fc 	lw	s5,508\(sp\)
   1543 [ 0-9a-f]+:	fffd 01fc 	lw	ra,508\(sp\)
   1544 [ 0-9a-f]+:	fc60 0000 	lw	v1,0\(zero\)
   1545 [ 0-9a-f]+:	fc60 0004 	lw	v1,4\(zero\)
   1546 [ 0-9a-f]+:	fc60 0000 	lw	v1,0\(zero\)
   1547 [ 0-9a-f]+:	fc60 0000 	lw	v1,0\(zero\)
   1548 [ 0-9a-f]+:	fc60 0000 	lw	v1,0\(zero\)
   1549 [ 0-9a-f]+:	fc60 0004 	lw	v1,4\(zero\)
   1550 [ 0-9a-f]+:	fc60 7fff 	lw	v1,32767\(zero\)
   1551 [ 0-9a-f]+:	fc60 8000 	lw	v1,-32768\(zero\)
   1552 [ 0-9a-f]+:	41a3 0001 	lui	v1,0x1
   1553 [ 0-9a-f]+:	fc63 ffff 	lw	v1,-1\(v1\)
   1554 [ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
   1555 [ 0-9a-f]+:	fc63 0000 	lw	v1,0\(v1\)
   1556 [ 0-9a-f]+:	fc60 8000 	lw	v1,-32768\(zero\)
   1557 [ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
   1558 [ 0-9a-f]+:	fc63 0001 	lw	v1,1\(v1\)
   1559 [ 0-9a-f]+:	fc60 8001 	lw	v1,-32767\(zero\)
   1560 [ 0-9a-f]+:	41a3 f000 	lui	v1,0xf000
   1561 [ 0-9a-f]+:	fc63 0000 	lw	v1,0\(v1\)
   1562 [ 0-9a-f]+:	fc60 ffff 	lw	v1,-1\(zero\)
   1563 [ 0-9a-f]+:	41a3 1234 	lui	v1,0x1234
   1564 [ 0-9a-f]+:	fc63 5678 	lw	v1,22136\(v1\)
   1565 [ 0-9a-f]+:	69c0      	lw	v1,0\(a0\)
   1566 [ 0-9a-f]+:	69c0      	lw	v1,0\(a0\)
   1567 [ 0-9a-f]+:	69c1      	lw	v1,4\(a0\)
   1568 [ 0-9a-f]+:	fc64 7fff 	lw	v1,32767\(a0\)
   1569 [ 0-9a-f]+:	fc64 8000 	lw	v1,-32768\(a0\)
   1570 [ 0-9a-f]+:	41a3 0001 	lui	v1,0x1
   1571 [ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
   1572 [ 0-9a-f]+:	fc63 ffff 	lw	v1,-1\(v1\)
   1573 [ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
   1574 [ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
   1575 [ 0-9a-f]+:	fc63 0000 	lw	v1,0\(v1\)
   1576 [ 0-9a-f]+:	fc64 8000 	lw	v1,-32768\(a0\)
   1577 [ 0-9a-f]+:	41a3 ffff 	lui	v1,0xffff
   1578 [ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
   1579 [ 0-9a-f]+:	fc63 0001 	lw	v1,1\(v1\)
   1580 [ 0-9a-f]+:	fc64 8001 	lw	v1,-32767\(a0\)
   1581 [ 0-9a-f]+:	41a3 f000 	lui	v1,0xf000
   1582 [ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
   1583 [ 0-9a-f]+:	fc63 0000 	lw	v1,0\(v1\)
   1584 [ 0-9a-f]+:	fc64 ffff 	lw	v1,-1\(a0\)
   1585 [ 0-9a-f]+:	41a3 1234 	lui	v1,0x1234
   1586 [ 0-9a-f]+:	0083 1950 	addu	v1,v1,a0
   1587 [ 0-9a-f]+:	fc63 5678 	lw	v1,22136\(v1\)
   1588 [ 0-9a-f]+:	450c      	lwm	s0,ra,48\(sp\)
   1589 [ 0-9a-f]+:	451c      	lwm	s0-s1,ra,48\(sp\)
   1590 [ 0-9a-f]+:	451c      	lwm	s0-s1,ra,48\(sp\)
   1591 [ 0-9a-f]+:	452c      	lwm	s0-s2,ra,48\(sp\)
   1592 [ 0-9a-f]+:	452c      	lwm	s0-s2,ra,48\(sp\)
   1593 [ 0-9a-f]+:	453c      	lwm	s0-s3,ra,48\(sp\)
   1594 [ 0-9a-f]+:	453c      	lwm	s0-s3,ra,48\(sp\)
   1595 [ 0-9a-f]+:	4500      	lwm	s0,ra,0\(sp\)
   1596 [ 0-9a-f]+:	4500      	lwm	s0,ra,0\(sp\)
   1597 [ 0-9a-f]+:	4501      	lwm	s0,ra,4\(sp\)
   1598 [ 0-9a-f]+:	4502      	lwm	s0,ra,8\(sp\)
   1599 [ 0-9a-f]+:	4503      	lwm	s0,ra,12\(sp\)
   1600 [ 0-9a-f]+:	4504      	lwm	s0,ra,16\(sp\)
   1601 [ 0-9a-f]+:	4505      	lwm	s0,ra,20\(sp\)
   1602 [ 0-9a-f]+:	4506      	lwm	s0,ra,24\(sp\)
   1603 [ 0-9a-f]+:	4507      	lwm	s0,ra,28\(sp\)
   1604 [ 0-9a-f]+:	4508      	lwm	s0,ra,32\(sp\)
   1605 [ 0-9a-f]+:	4509      	lwm	s0,ra,36\(sp\)
   1606 [ 0-9a-f]+:	450a      	lwm	s0,ra,40\(sp\)
   1607 [ 0-9a-f]+:	450b      	lwm	s0,ra,44\(sp\)
   1608 [ 0-9a-f]+:	450c      	lwm	s0,ra,48\(sp\)
   1609 [ 0-9a-f]+:	450d      	lwm	s0,ra,52\(sp\)
   1610 [ 0-9a-f]+:	450e      	lwm	s0,ra,56\(sp\)
   1611 [ 0-9a-f]+:	450f      	lwm	s0,ra,60\(sp\)
   1612 [ 0-9a-f]+:	2020 5000 	lwm	s0,0\(zero\)
   1613 [ 0-9a-f]+:	2020 5004 	lwm	s0,4\(zero\)
   1614 [ 0-9a-f]+:	2025 5000 	lwm	s0,0\(a1\)
   1615 [ 0-9a-f]+:	2025 57ff 	lwm	s0,2047\(a1\)
   1616 [ 0-9a-f]+:	2045 57ff 	lwm	s0-s1,2047\(a1\)
   1617 [ 0-9a-f]+:	2065 57ff 	lwm	s0-s2,2047\(a1\)
   1618 [ 0-9a-f]+:	2085 57ff 	lwm	s0-s3,2047\(a1\)
   1619 [ 0-9a-f]+:	20a5 57ff 	lwm	s0-s4,2047\(a1\)
   1620 [ 0-9a-f]+:	20c5 57ff 	lwm	s0-s5,2047\(a1\)
   1621 [ 0-9a-f]+:	20e5 57ff 	lwm	s0-s6,2047\(a1\)
   1622 [ 0-9a-f]+:	2105 57ff 	lwm	s0-s7,2047\(a1\)
   1623 [ 0-9a-f]+:	2125 57ff 	lwm	s0-s7,s8,2047\(a1\)
   1624 [ 0-9a-f]+:	2205 57ff 	lwm	ra,2047\(a1\)
   1625 [ 0-9a-f]+:	2225 5000 	lwm	s0,ra,0\(a1\)
   1626 [ 0-9a-f]+:	2245 5000 	lwm	s0-s1,ra,0\(a1\)
   1627 [ 0-9a-f]+:	2265 5000 	lwm	s0-s2,ra,0\(a1\)
   1628 [ 0-9a-f]+:	2285 5000 	lwm	s0-s3,ra,0\(a1\)
   1629 [ 0-9a-f]+:	22a5 5000 	lwm	s0-s4,ra,0\(a1\)
   1630 [ 0-9a-f]+:	22c5 5000 	lwm	s0-s5,ra,0\(a1\)
   1631 [ 0-9a-f]+:	22e5 5000 	lwm	s0-s6,ra,0\(a1\)
   1632 [ 0-9a-f]+:	2305 5000 	lwm	s0-s7,ra,0\(a1\)
   1633 [ 0-9a-f]+:	2325 5000 	lwm	s0-s7,s8,ra,0\(a1\)
   1634 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   1635 [ 0-9a-f]+:	2021 5000 	lwm	s0,0\(at\)
   1636 [ 0-9a-f]+:	3020 7fff 	li	at,32767
   1637 [ 0-9a-f]+:	2021 5000 	lwm	s0,0\(at\)
   1638 [ 0-9a-f]+:	2020 5000 	lwm	s0,0\(zero\)
   1639 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   1640 [ 0-9a-f]+:	2021 5fff 	lwm	s0,-1\(at\)
   1641 [ 0-9a-f]+:	303d 8000 	addiu	at,sp,-32768
   1642 [ 0-9a-f]+:	2021 5000 	lwm	s0,0\(at\)
   1643 [ 0-9a-f]+:	303d 7fff 	addiu	at,sp,32767
   1644 [ 0-9a-f]+:	2021 5000 	lwm	s0,0\(at\)
   1645 [ 0-9a-f]+:	203d 5000 	lwm	s0,0\(sp\)
   1646 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   1647 [ 0-9a-f]+:	03a1 0950 	addu	at,at,sp
   1648 [ 0-9a-f]+:	2021 5fff 	lwm	s0,-1\(at\)
   1649 [ 0-9a-f]+:	2040 1000 	lwp	v0,0\(zero\)
   1650 [ 0-9a-f]+:	2040 1004 	lwp	v0,4\(zero\)
   1651 [ 0-9a-f]+:	205d 1000 	lwp	v0,0\(sp\)
   1652 [ 0-9a-f]+:	205d 1000 	lwp	v0,0\(sp\)
   1653 [ 0-9a-f]+:	2043 1800 	lwp	v0,-2048\(v1\)
   1654 [ 0-9a-f]+:	2043 17ff 	lwp	v0,2047\(v1\)
   1655 [ 0-9a-f]+:	3023 8000 	addiu	at,v1,-32768
   1656 [ 0-9a-f]+:	2041 1000 	lwp	v0,0\(at\)
   1657 [ 0-9a-f]+:	3023 7fff 	addiu	at,v1,32767
   1658 [ 0-9a-f]+:	2041 1000 	lwp	v0,0\(at\)
   1659 [ 0-9a-f]+:	2043 1000 	lwp	v0,0\(v1\)
   1660 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   1661 [ 0-9a-f]+:	0061 0950 	addu	at,at,v1
   1662 [ 0-9a-f]+:	2041 1fff 	lwp	v0,-1\(at\)
   1663 [ 0-9a-f]+:	3060 8000 	li	v1,-32768
   1664 [ 0-9a-f]+:	2043 1000 	lwp	v0,0\(v1\)
   1665 [ 0-9a-f]+:	3060 7fff 	li	v1,32767
   1666 [ 0-9a-f]+:	2043 1000 	lwp	v0,0\(v1\)
   1667 [ 0-9a-f]+:	41a3 0001 	lui	v1,0x1
   1668 [ 0-9a-f]+:	2043 1fff 	lwp	v0,-1\(v1\)
   1669 [ 0-9a-f]+:	6060 0004 	lwl	v1,4\(zero\)
   1670 [ 0-9a-f]+:	6060 0004 	lwl	v1,4\(zero\)
   1671 [ 0-9a-f]+:	6060 0000 	lwl	v1,0\(zero\)
   1672 [ 0-9a-f]+:	6060 0000 	lwl	v1,0\(zero\)
   1673 [ 0-9a-f]+:	6060 07ff 	lwl	v1,2047\(zero\)
   1674 [ 0-9a-f]+:	6060 0800 	lwl	v1,-2048\(zero\)
   1675 [ 0-9a-f]+:	3020 7fff 	li	at,32767
   1676 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   1677 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   1678 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   1679 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   1680 [ 0-9a-f]+:	6061 0fff 	lwl	v1,-1\(at\)
   1681 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   1682 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   1683 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   1684 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   1685 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   1686 [ 0-9a-f]+:	6061 0001 	lwl	v1,1\(at\)
   1687 [ 0-9a-f]+:	3020 8001 	li	at,-32767
   1688 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   1689 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   1690 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   1691 [ 0-9a-f]+:	6060 0fff 	lwl	v1,-1\(zero\)
   1692 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   1693 [ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
   1694 [ 0-9a-f]+:	6061 0678 	lwl	v1,1656\(at\)
   1695 [ 0-9a-f]+:	6064 0000 	lwl	v1,0\(a0\)
   1696 [ 0-9a-f]+:	6064 0000 	lwl	v1,0\(a0\)
   1697 [ 0-9a-f]+:	6064 07ff 	lwl	v1,2047\(a0\)
   1698 [ 0-9a-f]+:	6064 0800 	lwl	v1,-2048\(a0\)
   1699 [ 0-9a-f]+:	3024 7fff 	addiu	at,a0,32767
   1700 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   1701 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   1702 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   1703 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   1704 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   1705 [ 0-9a-f]+:	6061 0fff 	lwl	v1,-1\(at\)
   1706 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   1707 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   1708 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   1709 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   1710 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   1711 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   1712 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   1713 [ 0-9a-f]+:	6061 0001 	lwl	v1,1\(at\)
   1714 [ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
   1715 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   1716 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   1717 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   1718 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   1719 [ 0-9a-f]+:	6064 0fff 	lwl	v1,-1\(a0\)
   1720 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   1721 [ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
   1722 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   1723 [ 0-9a-f]+:	6061 0678 	lwl	v1,1656\(at\)
   1724 [ 0-9a-f]+:	6060 0004 	lwl	v1,4\(zero\)
   1725 [ 0-9a-f]+:	6060 0004 	lwl	v1,4\(zero\)
   1726 [ 0-9a-f]+:	6060 0000 	lwl	v1,0\(zero\)
   1727 [ 0-9a-f]+:	6060 0000 	lwl	v1,0\(zero\)
   1728 [ 0-9a-f]+:	6060 07ff 	lwl	v1,2047\(zero\)
   1729 [ 0-9a-f]+:	6060 0800 	lwl	v1,-2048\(zero\)
   1730 [ 0-9a-f]+:	3020 7fff 	li	at,32767
   1731 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   1732 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   1733 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   1734 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   1735 [ 0-9a-f]+:	6061 0fff 	lwl	v1,-1\(at\)
   1736 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   1737 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   1738 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   1739 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   1740 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   1741 [ 0-9a-f]+:	6061 0001 	lwl	v1,1\(at\)
   1742 [ 0-9a-f]+:	3020 8001 	li	at,-32767
   1743 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   1744 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   1745 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   1746 [ 0-9a-f]+:	6060 0fff 	lwl	v1,-1\(zero\)
   1747 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   1748 [ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
   1749 [ 0-9a-f]+:	6061 0678 	lwl	v1,1656\(at\)
   1750 [ 0-9a-f]+:	6064 0000 	lwl	v1,0\(a0\)
   1751 [ 0-9a-f]+:	6064 0000 	lwl	v1,0\(a0\)
   1752 [ 0-9a-f]+:	6064 07ff 	lwl	v1,2047\(a0\)
   1753 [ 0-9a-f]+:	6064 0800 	lwl	v1,-2048\(a0\)
   1754 [ 0-9a-f]+:	3024 7fff 	addiu	at,a0,32767
   1755 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   1756 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   1757 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   1758 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   1759 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   1760 [ 0-9a-f]+:	6061 0fff 	lwl	v1,-1\(at\)
   1761 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   1762 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   1763 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   1764 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   1765 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   1766 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   1767 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   1768 [ 0-9a-f]+:	6061 0001 	lwl	v1,1\(at\)
   1769 [ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
   1770 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   1771 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   1772 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   1773 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   1774 [ 0-9a-f]+:	6064 0fff 	lwl	v1,-1\(a0\)
   1775 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   1776 [ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
   1777 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   1778 [ 0-9a-f]+:	6061 0678 	lwl	v1,1656\(at\)
   1779 [ 0-9a-f]+:	6060 1004 	lwr	v1,4\(zero\)
   1780 [ 0-9a-f]+:	6060 1004 	lwr	v1,4\(zero\)
   1781 [ 0-9a-f]+:	6060 1000 	lwr	v1,0\(zero\)
   1782 [ 0-9a-f]+:	6060 1000 	lwr	v1,0\(zero\)
   1783 [ 0-9a-f]+:	6060 17ff 	lwr	v1,2047\(zero\)
   1784 [ 0-9a-f]+:	6060 1800 	lwr	v1,-2048\(zero\)
   1785 [ 0-9a-f]+:	3020 7fff 	li	at,32767
   1786 [ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
   1787 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   1788 [ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
   1789 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   1790 [ 0-9a-f]+:	6061 1fff 	lwr	v1,-1\(at\)
   1791 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   1792 [ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
   1793 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   1794 [ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
   1795 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   1796 [ 0-9a-f]+:	6061 1001 	lwr	v1,1\(at\)
   1797 [ 0-9a-f]+:	3020 8001 	li	at,-32767
   1798 [ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
   1799 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   1800 [ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
   1801 [ 0-9a-f]+:	6060 1fff 	lwr	v1,-1\(zero\)
   1802 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   1803 [ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
   1804 [ 0-9a-f]+:	6061 1678 	lwr	v1,1656\(at\)
   1805 [ 0-9a-f]+:	6064 1000 	lwr	v1,0\(a0\)
   1806 [ 0-9a-f]+:	6064 1000 	lwr	v1,0\(a0\)
   1807 [ 0-9a-f]+:	6064 17ff 	lwr	v1,2047\(a0\)
   1808 [ 0-9a-f]+:	6064 1800 	lwr	v1,-2048\(a0\)
   1809 [ 0-9a-f]+:	3024 7fff 	addiu	at,a0,32767
   1810 [ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
   1811 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   1812 [ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
   1813 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   1814 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   1815 [ 0-9a-f]+:	6061 1fff 	lwr	v1,-1\(at\)
   1816 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   1817 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   1818 [ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
   1819 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   1820 [ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
   1821 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   1822 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   1823 [ 0-9a-f]+:	6061 1001 	lwr	v1,1\(at\)
   1824 [ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
   1825 [ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
   1826 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   1827 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   1828 [ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
   1829 [ 0-9a-f]+:	6064 1fff 	lwr	v1,-1\(a0\)
   1830 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   1831 [ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
   1832 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   1833 [ 0-9a-f]+:	6061 1678 	lwr	v1,1656\(at\)
   1834 [ 0-9a-f]+:	6060 1004 	lwr	v1,4\(zero\)
   1835 [ 0-9a-f]+:	6060 1004 	lwr	v1,4\(zero\)
   1836 [ 0-9a-f]+:	6060 1000 	lwr	v1,0\(zero\)
   1837 [ 0-9a-f]+:	6060 1000 	lwr	v1,0\(zero\)
   1838 [ 0-9a-f]+:	6060 17ff 	lwr	v1,2047\(zero\)
   1839 [ 0-9a-f]+:	6060 1800 	lwr	v1,-2048\(zero\)
   1840 [ 0-9a-f]+:	3020 7fff 	li	at,32767
   1841 [ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
   1842 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   1843 [ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
   1844 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   1845 [ 0-9a-f]+:	6061 1fff 	lwr	v1,-1\(at\)
   1846 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   1847 [ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
   1848 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   1849 [ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
   1850 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   1851 [ 0-9a-f]+:	6061 1001 	lwr	v1,1\(at\)
   1852 [ 0-9a-f]+:	3020 8001 	li	at,-32767
   1853 [ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
   1854 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   1855 [ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
   1856 [ 0-9a-f]+:	6060 1fff 	lwr	v1,-1\(zero\)
   1857 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   1858 [ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
   1859 [ 0-9a-f]+:	6061 1678 	lwr	v1,1656\(at\)
   1860 [ 0-9a-f]+:	6064 1000 	lwr	v1,0\(a0\)
   1861 [ 0-9a-f]+:	6064 1000 	lwr	v1,0\(a0\)
   1862 [ 0-9a-f]+:	6064 17ff 	lwr	v1,2047\(a0\)
   1863 [ 0-9a-f]+:	6064 1800 	lwr	v1,-2048\(a0\)
   1864 [ 0-9a-f]+:	3024 7fff 	addiu	at,a0,32767
   1865 [ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
   1866 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   1867 [ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
   1868 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   1869 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   1870 [ 0-9a-f]+:	6061 1fff 	lwr	v1,-1\(at\)
   1871 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   1872 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   1873 [ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
   1874 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   1875 [ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
   1876 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   1877 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   1878 [ 0-9a-f]+:	6061 1001 	lwr	v1,1\(at\)
   1879 [ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
   1880 [ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
   1881 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   1882 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   1883 [ 0-9a-f]+:	6061 1000 	lwr	v1,0\(at\)
   1884 [ 0-9a-f]+:	6064 1fff 	lwr	v1,-1\(a0\)
   1885 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   1886 [ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
   1887 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   1888 [ 0-9a-f]+:	6061 1678 	lwr	v1,1656\(at\)
   1889 [ 0-9a-f]+:	0085 1918 	lwxs	v1,a0\(a1\)
   1890 [ 0-9a-f]+:	00a4 cb3c 	madd	a0,a1
   1891 [ 0-9a-f]+:	00a4 db3c 	maddu	a0,a1
   1892 [ 0-9a-f]+:	0040 00fc 	mfc0	v0,c0_index
   1893 [ 0-9a-f]+:	0041 00fc 	mfc0	v0,c0_random
   1894 [ 0-9a-f]+:	0042 00fc 	mfc0	v0,c0_entrylo0
   1895 [ 0-9a-f]+:	0043 00fc 	mfc0	v0,c0_entrylo1
   1896 [ 0-9a-f]+:	0044 00fc 	mfc0	v0,c0_context
   1897 [ 0-9a-f]+:	0045 00fc 	mfc0	v0,c0_pagemask
   1898 [ 0-9a-f]+:	0046 00fc 	mfc0	v0,c0_wired
   1899 [ 0-9a-f]+:	0047 00fc 	mfc0	v0,c0_hwrena
   1900 [ 0-9a-f]+:	0048 00fc 	mfc0	v0,c0_badvaddr
   1901 [ 0-9a-f]+:	0049 00fc 	mfc0	v0,c0_count
   1902 [ 0-9a-f]+:	004a 00fc 	mfc0	v0,c0_entryhi
   1903 [ 0-9a-f]+:	004b 00fc 	mfc0	v0,c0_compare
   1904 [ 0-9a-f]+:	004c 00fc 	mfc0	v0,c0_status
   1905 [ 0-9a-f]+:	004d 00fc 	mfc0	v0,c0_cause
   1906 [ 0-9a-f]+:	004e 00fc 	mfc0	v0,c0_epc
   1907 [ 0-9a-f]+:	004f 00fc 	mfc0	v0,c0_prid
   1908 [ 0-9a-f]+:	0050 00fc 	mfc0	v0,c0_config
   1909 [ 0-9a-f]+:	0051 00fc 	mfc0	v0,c0_lladdr
   1910 [ 0-9a-f]+:	0052 00fc 	mfc0	v0,c0_watchlo
   1911 [ 0-9a-f]+:	0053 00fc 	mfc0	v0,c0_watchhi
   1912 [ 0-9a-f]+:	0054 00fc 	mfc0	v0,c0_xcontext
   1913 [ 0-9a-f]+:	0055 00fc 	mfc0	v0,\$21
   1914 [ 0-9a-f]+:	0056 00fc 	mfc0	v0,\$22
   1915 [ 0-9a-f]+:	0057 00fc 	mfc0	v0,c0_debug
   1916 [ 0-9a-f]+:	0058 00fc 	mfc0	v0,c0_depc
   1917 [ 0-9a-f]+:	0059 00fc 	mfc0	v0,c0_perfcnt
   1918 [ 0-9a-f]+:	005a 00fc 	mfc0	v0,c0_errctl
   1919 [ 0-9a-f]+:	005b 00fc 	mfc0	v0,c0_cacheerr
   1920 [ 0-9a-f]+:	005c 00fc 	mfc0	v0,c0_taglo
   1921 [ 0-9a-f]+:	005d 00fc 	mfc0	v0,c0_taghi
   1922 [ 0-9a-f]+:	005e 00fc 	mfc0	v0,c0_errorepc
   1923 [ 0-9a-f]+:	005f 00fc 	mfc0	v0,c0_desave
   1924 [ 0-9a-f]+:	0040 00fc 	mfc0	v0,c0_index
   1925 [ 0-9a-f]+:	0040 08fc 	mfc0	v0,c0_mvpcontrol
   1926 [ 0-9a-f]+:	0040 10fc 	mfc0	v0,c0_mvpconf0
   1927 [ 0-9a-f]+:	0040 18fc 	mfc0	v0,c0_mvpconf1
   1928 [ 0-9a-f]+:	0040 20fc 	mfc0	v0,\$0,4
   1929 [ 0-9a-f]+:	0040 28fc 	mfc0	v0,\$0,5
   1930 [ 0-9a-f]+:	0040 30fc 	mfc0	v0,\$0,6
   1931 [ 0-9a-f]+:	0040 38fc 	mfc0	v0,\$0,7
   1932 [ 0-9a-f]+:	0041 00fc 	mfc0	v0,c0_random
   1933 [ 0-9a-f]+:	0041 08fc 	mfc0	v0,c0_vpecontrol
   1934 [ 0-9a-f]+:	0041 10fc 	mfc0	v0,c0_vpeconf0
   1935 [ 0-9a-f]+:	0041 18fc 	mfc0	v0,c0_vpeconf1
   1936 [ 0-9a-f]+:	0041 20fc 	mfc0	v0,c0_yqmask
   1937 [ 0-9a-f]+:	0041 28fc 	mfc0	v0,c0_vpeschedule
   1938 [ 0-9a-f]+:	0041 30fc 	mfc0	v0,c0_vpeschefback
   1939 [ 0-9a-f]+:	0041 38fc 	mfc0	v0,\$1,7
   1940 [ 0-9a-f]+:	0042 00fc 	mfc0	v0,c0_entrylo0
   1941 [ 0-9a-f]+:	0042 08fc 	mfc0	v0,c0_tcstatus
   1942 [ 0-9a-f]+:	0042 10fc 	mfc0	v0,c0_tcbind
   1943 [ 0-9a-f]+:	0042 18fc 	mfc0	v0,c0_tcrestart
   1944 [ 0-9a-f]+:	0042 20fc 	mfc0	v0,c0_tchalt
   1945 [ 0-9a-f]+:	0042 28fc 	mfc0	v0,c0_tccontext
   1946 [ 0-9a-f]+:	0042 30fc 	mfc0	v0,c0_tcschedule
   1947 [ 0-9a-f]+:	0042 38fc 	mfc0	v0,c0_tcschefback
   1948 [ 0-9a-f]+:	4600      	mfhi	zero
   1949 [ 0-9a-f]+:	4602      	mfhi	v0
   1950 [ 0-9a-f]+:	4603      	mfhi	v1
   1951 [ 0-9a-f]+:	4604      	mfhi	a0
   1952 [ 0-9a-f]+:	461d      	mfhi	sp
   1953 [ 0-9a-f]+:	461e      	mfhi	s8
   1954 [ 0-9a-f]+:	461f      	mfhi	ra
   1955 [ 0-9a-f]+:	0000 0d7c 	mfhi	zero
   1956 [ 0-9a-f]+:	0002 0d7c 	mfhi	v0
   1957 [ 0-9a-f]+:	0003 0d7c 	mfhi	v1
   1958 [ 0-9a-f]+:	0004 0d7c 	mfhi	a0
   1959 [ 0-9a-f]+:	001d 0d7c 	mfhi	sp
   1960 [ 0-9a-f]+:	001e 0d7c 	mfhi	s8
   1961 [ 0-9a-f]+:	001f 0d7c 	mfhi	ra
   1962 [ 0-9a-f]+:	4640      	mflo	zero
   1963 [ 0-9a-f]+:	4642      	mflo	v0
   1964 [ 0-9a-f]+:	4643      	mflo	v1
   1965 [ 0-9a-f]+:	4644      	mflo	a0
   1966 [ 0-9a-f]+:	465d      	mflo	sp
   1967 [ 0-9a-f]+:	465e      	mflo	s8
   1968 [ 0-9a-f]+:	465f      	mflo	ra
   1969 [ 0-9a-f]+:	0000 1d7c 	mflo	zero
   1970 [ 0-9a-f]+:	0002 1d7c 	mflo	v0
   1971 [ 0-9a-f]+:	0003 1d7c 	mflo	v1
   1972 [ 0-9a-f]+:	0004 1d7c 	mflo	a0
   1973 [ 0-9a-f]+:	001d 1d7c 	mflo	sp
   1974 [ 0-9a-f]+:	001e 1d7c 	mflo	s8
   1975 [ 0-9a-f]+:	001f 1d7c 	mflo	ra
   1976 [ 0-9a-f]+:	0062 1018 	movn	v0,v0,v1
   1977 [ 0-9a-f]+:	0062 1018 	movn	v0,v0,v1
   1978 [ 0-9a-f]+:	0083 1018 	movn	v0,v1,a0
   1979 [ 0-9a-f]+:	0062 1058 	movz	v0,v0,v1
   1980 [ 0-9a-f]+:	0062 1058 	movz	v0,v0,v1
   1981 [ 0-9a-f]+:	0083 1058 	movz	v0,v1,a0
   1982 [ 0-9a-f]+:	00a4 eb3c 	msub	a0,a1
   1983 [ 0-9a-f]+:	00a4 fb3c 	msubu	a0,a1
   1984 [ 0-9a-f]+:	0040 02fc 	mtc0	v0,c0_index
   1985 [ 0-9a-f]+:	0041 02fc 	mtc0	v0,c0_random
   1986 [ 0-9a-f]+:	0042 02fc 	mtc0	v0,c0_entrylo0
   1987 [ 0-9a-f]+:	0043 02fc 	mtc0	v0,c0_entrylo1
   1988 [ 0-9a-f]+:	0044 02fc 	mtc0	v0,c0_context
   1989 [ 0-9a-f]+:	0045 02fc 	mtc0	v0,c0_pagemask
   1990 [ 0-9a-f]+:	0046 02fc 	mtc0	v0,c0_wired
   1991 [ 0-9a-f]+:	0047 02fc 	mtc0	v0,c0_hwrena
   1992 [ 0-9a-f]+:	0048 02fc 	mtc0	v0,c0_badvaddr
   1993 [ 0-9a-f]+:	0049 02fc 	mtc0	v0,c0_count
   1994 [ 0-9a-f]+:	004a 02fc 	mtc0	v0,c0_entryhi
   1995 [ 0-9a-f]+:	004b 02fc 	mtc0	v0,c0_compare
   1996 [ 0-9a-f]+:	004c 02fc 	mtc0	v0,c0_status
   1997 [ 0-9a-f]+:	004d 02fc 	mtc0	v0,c0_cause
   1998 [ 0-9a-f]+:	004e 02fc 	mtc0	v0,c0_epc
   1999 [ 0-9a-f]+:	004f 02fc 	mtc0	v0,c0_prid
   2000 [ 0-9a-f]+:	0050 02fc 	mtc0	v0,c0_config
   2001 [ 0-9a-f]+:	0051 02fc 	mtc0	v0,c0_lladdr
   2002 [ 0-9a-f]+:	0052 02fc 	mtc0	v0,c0_watchlo
   2003 [ 0-9a-f]+:	0053 02fc 	mtc0	v0,c0_watchhi
   2004 [ 0-9a-f]+:	0054 02fc 	mtc0	v0,c0_xcontext
   2005 [ 0-9a-f]+:	0055 02fc 	mtc0	v0,\$21
   2006 [ 0-9a-f]+:	0056 02fc 	mtc0	v0,\$22
   2007 [ 0-9a-f]+:	0057 02fc 	mtc0	v0,c0_debug
   2008 [ 0-9a-f]+:	0058 02fc 	mtc0	v0,c0_depc
   2009 [ 0-9a-f]+:	0059 02fc 	mtc0	v0,c0_perfcnt
   2010 [ 0-9a-f]+:	005a 02fc 	mtc0	v0,c0_errctl
   2011 [ 0-9a-f]+:	005b 02fc 	mtc0	v0,c0_cacheerr
   2012 [ 0-9a-f]+:	005c 02fc 	mtc0	v0,c0_taglo
   2013 [ 0-9a-f]+:	005d 02fc 	mtc0	v0,c0_taghi
   2014 [ 0-9a-f]+:	005e 02fc 	mtc0	v0,c0_errorepc
   2015 [ 0-9a-f]+:	005f 02fc 	mtc0	v0,c0_desave
   2016 [ 0-9a-f]+:	0040 02fc 	mtc0	v0,c0_index
   2017 [ 0-9a-f]+:	0040 0afc 	mtc0	v0,c0_mvpcontrol
   2018 [ 0-9a-f]+:	0040 12fc 	mtc0	v0,c0_mvpconf0
   2019 [ 0-9a-f]+:	0040 1afc 	mtc0	v0,c0_mvpconf1
   2020 [ 0-9a-f]+:	0040 22fc 	mtc0	v0,\$0,4
   2021 [ 0-9a-f]+:	0040 2afc 	mtc0	v0,\$0,5
   2022 [ 0-9a-f]+:	0040 32fc 	mtc0	v0,\$0,6
   2023 [ 0-9a-f]+:	0040 3afc 	mtc0	v0,\$0,7
   2024 [ 0-9a-f]+:	0041 02fc 	mtc0	v0,c0_random
   2025 [ 0-9a-f]+:	0041 0afc 	mtc0	v0,c0_vpecontrol
   2026 [ 0-9a-f]+:	0041 12fc 	mtc0	v0,c0_vpeconf0
   2027 [ 0-9a-f]+:	0041 1afc 	mtc0	v0,c0_vpeconf1
   2028 [ 0-9a-f]+:	0041 22fc 	mtc0	v0,c0_yqmask
   2029 [ 0-9a-f]+:	0041 2afc 	mtc0	v0,c0_vpeschedule
   2030 [ 0-9a-f]+:	0041 32fc 	mtc0	v0,c0_vpeschefback
   2031 [ 0-9a-f]+:	0041 3afc 	mtc0	v0,\$1,7
   2032 [ 0-9a-f]+:	0042 02fc 	mtc0	v0,c0_entrylo0
   2033 [ 0-9a-f]+:	0042 0afc 	mtc0	v0,c0_tcstatus
   2034 [ 0-9a-f]+:	0042 12fc 	mtc0	v0,c0_tcbind
   2035 [ 0-9a-f]+:	0042 1afc 	mtc0	v0,c0_tcrestart
   2036 [ 0-9a-f]+:	0042 22fc 	mtc0	v0,c0_tchalt
   2037 [ 0-9a-f]+:	0042 2afc 	mtc0	v0,c0_tccontext
   2038 [ 0-9a-f]+:	0042 32fc 	mtc0	v0,c0_tcschedule
   2039 [ 0-9a-f]+:	0042 3afc 	mtc0	v0,c0_tcschefback
   2040 [ 0-9a-f]+:	0000 2d7c 	mthi	zero
   2041 [ 0-9a-f]+:	0002 2d7c 	mthi	v0
   2042 [ 0-9a-f]+:	0003 2d7c 	mthi	v1
   2043 [ 0-9a-f]+:	0004 2d7c 	mthi	a0
   2044 [ 0-9a-f]+:	001d 2d7c 	mthi	sp
   2045 [ 0-9a-f]+:	001e 2d7c 	mthi	s8
   2046 [ 0-9a-f]+:	001f 2d7c 	mthi	ra
   2047 [ 0-9a-f]+:	0000 3d7c 	mtlo	zero
   2048 [ 0-9a-f]+:	0002 3d7c 	mtlo	v0
   2049 [ 0-9a-f]+:	0003 3d7c 	mtlo	v1
   2050 [ 0-9a-f]+:	0004 3d7c 	mtlo	a0
   2051 [ 0-9a-f]+:	001d 3d7c 	mtlo	sp
   2052 [ 0-9a-f]+:	001e 3d7c 	mtlo	s8
   2053 [ 0-9a-f]+:	001f 3d7c 	mtlo	ra
   2054 [ 0-9a-f]+:	0083 1210 	mul	v0,v1,a0
   2055 [ 0-9a-f]+:	03fe ea10 	mul	sp,s8,ra
   2056 [ 0-9a-f]+:	0082 1210 	mul	v0,v0,a0
   2057 [ 0-9a-f]+:	0082 1210 	mul	v0,v0,a0
   2058 [ 0-9a-f]+:	3020 0000 	li	at,0
   2059 [ 0-9a-f]+:	0022 8b3c 	mult	v0,at
   2060 [ 0-9a-f]+:	4642      	mflo	v0
   2061 [ 0-9a-f]+:	3020 0001 	li	at,1
   2062 [ 0-9a-f]+:	0022 8b3c 	mult	v0,at
   2063 [ 0-9a-f]+:	4642      	mflo	v0
   2064 [ 0-9a-f]+:	3020 7fff 	li	at,32767
   2065 [ 0-9a-f]+:	0022 8b3c 	mult	v0,at
   2066 [ 0-9a-f]+:	4642      	mflo	v0
   2067 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   2068 [ 0-9a-f]+:	0022 8b3c 	mult	v0,at
   2069 [ 0-9a-f]+:	4642      	mflo	v0
   2070 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
   2071 [ 0-9a-f]+:	0022 8b3c 	mult	v0,at
   2072 [ 0-9a-f]+:	4642      	mflo	v0
   2073 [ 0-9a-f]+:	0083 8b3c 	mult	v1,a0
   2074 [ 0-9a-f]+:	4642      	mflo	v0
   2075 [ 0-9a-f]+:	0042 f880 	sra	v0,v0,0x1f
   2076 [ 0-9a-f]+:	4601      	mfhi	at
   2077 [ 0-9a-f]+:	0022 6c3c 	tne	v0,at,0x6
   2078 [ 0-9a-f]+:	4642      	mflo	v0
   2079 [ 0-9a-f]+:	3020 0004 	li	at,4
   2080 [ 0-9a-f]+:	0023 8b3c 	mult	v1,at
   2081 [ 0-9a-f]+:	4642      	mflo	v0
   2082 [ 0-9a-f]+:	0042 f880 	sra	v0,v0,0x1f
   2083 [ 0-9a-f]+:	4601      	mfhi	at
   2084 [ 0-9a-f]+:	0022 6c3c 	tne	v0,at,0x6
   2085 [ 0-9a-f]+:	4642      	mflo	v0
   2086 [ 0-9a-f]+:	0083 9b3c 	multu	v1,a0
   2087 [ 0-9a-f]+:	4601      	mfhi	at
   2088 [ 0-9a-f]+:	4642      	mflo	v0
   2089 [ 0-9a-f]+:	0001 6c3c 	tne	at,zero,0x6
   2090 [ 0-9a-f]+:	3020 0004 	li	at,4
   2091 [ 0-9a-f]+:	0023 9b3c 	multu	v1,at
   2092 [ 0-9a-f]+:	4601      	mfhi	at
   2093 [ 0-9a-f]+:	4642      	mflo	v0
   2094 [ 0-9a-f]+:	0001 6c3c 	tne	at,zero,0x6
   2095 [ 0-9a-f]+:	0062 8b3c 	mult	v0,v1
   2096 [ 0-9a-f]+:	0062 9b3c 	multu	v0,v1
   2097 [ 0-9a-f]+:	0060 1190 	neg	v0,v1
   2098 [ 0-9a-f]+:	0040 1190 	neg	v0,v0
   2099 [ 0-9a-f]+:	0040 1190 	neg	v0,v0
   2100 [ 0-9a-f]+:	0060 11d0 	negu	v0,v1
   2101 [ 0-9a-f]+:	0040 11d0 	negu	v0,v0
   2102 [ 0-9a-f]+:	0040 11d0 	negu	v0,v0
   2103 [ 0-9a-f]+:	0060 11d0 	negu	v0,v1
   2104 [ 0-9a-f]+:	0040 11d0 	negu	v0,v0
   2105 [ 0-9a-f]+:	0040 11d0 	negu	v0,v0
   2106 [ 0-9a-f]+:	4412      	not	v0,v0
   2107 [ 0-9a-f]+:	4412      	not	v0,v0
   2108 [ 0-9a-f]+:	4413      	not	v0,v1
   2109 [ 0-9a-f]+:	4414      	not	v0,a0
   2110 [ 0-9a-f]+:	4415      	not	v0,a1
   2111 [ 0-9a-f]+:	4416      	not	v0,a2
   2112 [ 0-9a-f]+:	4417      	not	v0,a3
   2113 [ 0-9a-f]+:	4410      	not	v0,s0
   2114 [ 0-9a-f]+:	4411      	not	v0,s1
   2115 [ 0-9a-f]+:	4419      	not	v1,s1
   2116 [ 0-9a-f]+:	4421      	not	a0,s1
   2117 [ 0-9a-f]+:	4429      	not	a1,s1
   2118 [ 0-9a-f]+:	4431      	not	a2,s1
   2119 [ 0-9a-f]+:	4439      	not	a3,s1
   2120 [ 0-9a-f]+:	4401      	not	s0,s1
   2121 [ 0-9a-f]+:	4409      	not	s1,s1
   2122 [ 0-9a-f]+:	4417      	not	v0,a3
   2123 [ 0-9a-f]+:	4417      	not	v0,a3
   2124 [ 0-9a-f]+:	0083 12d0 	nor	v0,v1,a0
   2125 [ 0-9a-f]+:	03fe ead0 	nor	sp,s8,ra
   2126 [ 0-9a-f]+:	0082 12d0 	nor	v0,v0,a0
   2127 [ 0-9a-f]+:	0082 12d0 	nor	v0,v0,a0
   2128 [ 0-9a-f]+:	5043 8000 	ori	v0,v1,0x8000
   2129 [ 0-9a-f]+:	0002 12d0 	not	v0,v0
   2130 [ 0-9a-f]+:	5043 ffff 	ori	v0,v1,0xffff
   2131 [ 0-9a-f]+:	0002 12d0 	not	v0,v0
   2132 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   2133 [ 0-9a-f]+:	0023 12d0 	nor	v0,v1,at
   2134 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   2135 [ 0-9a-f]+:	0023 12d0 	nor	v0,v1,at
   2136 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2137 [ 0-9a-f]+:	5021 7fff 	ori	at,at,0x7fff
   2138 [ 0-9a-f]+:	0023 12d0 	nor	v0,v1,at
   2139 [ 0-9a-f]+:	0c56      	move	v0,s6
   2140 [ 0-9a-f]+:	0ec2      	move	s6,v0
   2141 [ 0-9a-f]+:	0c56      	move	v0,s6
   2142 [ 0-9a-f]+:	0ec2      	move	s6,v0
   2143 [ 0-9a-f]+:	44d2      	or	v0,v0,v0
   2144 [ 0-9a-f]+:	44d3      	or	v0,v0,v1
   2145 [ 0-9a-f]+:	44d4      	or	v0,v0,a0
   2146 [ 0-9a-f]+:	44d5      	or	v0,v0,a1
   2147 [ 0-9a-f]+:	44d6      	or	v0,v0,a2
   2148 [ 0-9a-f]+:	44d7      	or	v0,v0,a3
   2149 [ 0-9a-f]+:	44d0      	or	v0,v0,s0
   2150 [ 0-9a-f]+:	44d1      	or	v0,v0,s1
   2151 [ 0-9a-f]+:	44da      	or	v1,v1,v0
   2152 [ 0-9a-f]+:	44e2      	or	a0,a0,v0
   2153 [ 0-9a-f]+:	44ea      	or	a1,a1,v0
   2154 [ 0-9a-f]+:	44f2      	or	a2,a2,v0
   2155 [ 0-9a-f]+:	44fa      	or	a3,a3,v0
   2156 [ 0-9a-f]+:	44c2      	or	s0,s0,v0
   2157 [ 0-9a-f]+:	44ca      	or	s1,s1,v0
   2158 [ 0-9a-f]+:	44d2      	or	v0,v0,v0
   2159 [ 0-9a-f]+:	44d3      	or	v0,v0,v1
   2160 [ 0-9a-f]+:	44d3      	or	v0,v0,v1
   2161 [ 0-9a-f]+:	0083 1290 	or	v0,v1,a0
   2162 [ 0-9a-f]+:	03fe ea90 	or	sp,s8,ra
   2163 [ 0-9a-f]+:	0082 1290 	or	v0,v0,a0
   2164 [ 0-9a-f]+:	0082 1290 	or	v0,v0,a0
   2165 [ 0-9a-f]+:	5043 8000 	ori	v0,v1,0x8000
   2166 [ 0-9a-f]+:	5043 ffff 	ori	v0,v1,0xffff
   2167 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   2168 [ 0-9a-f]+:	0023 1290 	or	v0,v1,at
   2169 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   2170 [ 0-9a-f]+:	0023 1290 	or	v0,v1,at
   2171 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2172 [ 0-9a-f]+:	5021 7fff 	ori	at,at,0x7fff
   2173 [ 0-9a-f]+:	0023 1290 	or	v0,v1,at
   2174 [ 0-9a-f]+:	0c64      	move	v1,a0
   2175 [ 0-9a-f]+:	5064 7fff 	ori	v1,a0,0x7fff
   2176 [ 0-9a-f]+:	5064 ffff 	ori	v1,a0,0xffff
   2177 [ 0-9a-f]+:	5063 ffff 	ori	v1,v1,0xffff
   2178 [ 0-9a-f]+:	5063 ffff 	ori	v1,v1,0xffff
   2179 [ 0-9a-f]+:	0040 6b3c 	rdhwr	v0,hwr_cpunum
   2180 [ 0-9a-f]+:	0041 6b3c 	rdhwr	v0,hwr_synci_step
   2181 [ 0-9a-f]+:	0042 6b3c 	rdhwr	v0,hwr_cc
   2182 [ 0-9a-f]+:	0043 6b3c 	rdhwr	v0,hwr_ccres
   2183 [ 0-9a-f]+:	0044 6b3c 	rdhwr	v0,\$4
   2184 [ 0-9a-f]+:	0045 6b3c 	rdhwr	v0,\$5
   2185 [ 0-9a-f]+:	0046 6b3c 	rdhwr	v0,\$6
   2186 [ 0-9a-f]+:	0047 6b3c 	rdhwr	v0,\$7
   2187 [ 0-9a-f]+:	0048 6b3c 	rdhwr	v0,\$8
   2188 [ 0-9a-f]+:	0049 6b3c 	rdhwr	v0,\$9
   2189 [ 0-9a-f]+:	004a 6b3c 	rdhwr	v0,\$10
   2190 [ 0-9a-f]+:	0043 e17c 	rdpgpr	v0,v1
   2191 [ 0-9a-f]+:	0042 e17c 	rdpgpr	v0,v0
   2192 [ 0-9a-f]+:	0042 e17c 	rdpgpr	v0,v0
   2193 [ 0-9a-f]+:	0062 ab3c 	div	zero,v0,v1
   2194 [ 0-9a-f]+:	03fe ab3c 	div	zero,s8,ra
   2195 [ 0-9a-f]+:	0003 703c 	teq	v1,zero,0x7
   2196 [ 0-9a-f]+:	0060 ab3c 	div	zero,zero,v1
   2197 [ 0-9a-f]+:	3020 ffff 	li	at,-1
   2198 [ 0-9a-f]+:	b423 fffe 	bne	v1,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   2199 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   2200 [ 0-9a-f]+:	41a1 8000 	lui	at,0x8000
   2201 [ 0-9a-f]+:	0020 603c 	teq	zero,at,0x6
   2202 
   2203 [0-9a-f]+ <.*>:
   2204 [ 0-9a-f]+:	4600      	mfhi	zero
   2205 [ 0-9a-f]+:	001f 703c 	teq	ra,zero,0x7
   2206 [ 0-9a-f]+:	03e0 ab3c 	div	zero,zero,ra
   2207 [ 0-9a-f]+:	3020 ffff 	li	at,-1
   2208 [ 0-9a-f]+:	b43f fffe 	bne	ra,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   2209 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   2210 [ 0-9a-f]+:	41a1 8000 	lui	at,0x8000
   2211 [ 0-9a-f]+:	0020 603c 	teq	zero,at,0x6
   2212 
   2213 [0-9a-f]+ <.*>:
   2214 [ 0-9a-f]+:	4600      	mfhi	zero
   2215 [ 0-9a-f]+:	0000 703c 	teq	zero,zero,0x7
   2216 [ 0-9a-f]+:	0004 703c 	teq	a0,zero,0x7
   2217 [ 0-9a-f]+:	0083 ab3c 	div	zero,v1,a0
   2218 [ 0-9a-f]+:	3020 ffff 	li	at,-1
   2219 [ 0-9a-f]+:	b424 fffe 	bne	a0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   2220 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   2221 [ 0-9a-f]+:	41a1 8000 	lui	at,0x8000
   2222 [ 0-9a-f]+:	0023 603c 	teq	v1,at,0x6
   2223 
   2224 [0-9a-f]+ <.*>:
   2225 [ 0-9a-f]+:	4602      	mfhi	v0
   2226 [ 0-9a-f]+:	0000 703c 	teq	zero,zero,0x7
   2227 [ 0-9a-f]+:	0c60      	move	v1,zero
   2228 [ 0-9a-f]+:	0c60      	move	v1,zero
   2229 [ 0-9a-f]+:	3020 0002 	li	at,2
   2230 [ 0-9a-f]+:	0024 ab3c 	div	zero,a0,at
   2231 [ 0-9a-f]+:	4603      	mfhi	v1
   2232 [ 0-9a-f]+:	0062 bb3c 	divu	zero,v0,v1
   2233 [ 0-9a-f]+:	03fe bb3c 	divu	zero,s8,ra
   2234 [ 0-9a-f]+:	0003 703c 	teq	v1,zero,0x7
   2235 [ 0-9a-f]+:	0060 bb3c 	divu	zero,zero,v1
   2236 [ 0-9a-f]+:	4600      	mfhi	zero
   2237 [ 0-9a-f]+:	001f 703c 	teq	ra,zero,0x7
   2238 [ 0-9a-f]+:	03e0 bb3c 	divu	zero,zero,ra
   2239 [ 0-9a-f]+:	4600      	mfhi	zero
   2240 [ 0-9a-f]+:	0000 703c 	teq	zero,zero,0x7
   2241 [ 0-9a-f]+:	0003 bb3c 	divu	zero,v1,zero
   2242 [ 0-9a-f]+:	4602      	mfhi	v0
   2243 [ 0-9a-f]+:	0004 703c 	teq	a0,zero,0x7
   2244 [ 0-9a-f]+:	0083 bb3c 	divu	zero,v1,a0
   2245 [ 0-9a-f]+:	4602      	mfhi	v0
   2246 [ 0-9a-f]+:	0000 703c 	teq	zero,zero,0x7
   2247 [ 0-9a-f]+:	0c60      	move	v1,zero
   2248 [ 0-9a-f]+:	3020 ffff 	li	at,-1
   2249 [ 0-9a-f]+:	0024 bb3c 	divu	zero,a0,at
   2250 [ 0-9a-f]+:	4603      	mfhi	v1
   2251 [ 0-9a-f]+:	3020 0002 	li	at,2
   2252 [ 0-9a-f]+:	0024 bb3c 	divu	zero,a0,at
   2253 [ 0-9a-f]+:	4603      	mfhi	v1
   2254 [ 0-9a-f]+:	0080 11d0 	negu	v0,a0
   2255 [ 0-9a-f]+:	0062 10d0 	rorv	v0,v1,v0
   2256 [ 0-9a-f]+:	0080 09d0 	negu	at,a0
   2257 [ 0-9a-f]+:	0041 10d0 	rorv	v0,v0,at
   2258 [ 0-9a-f]+:	0060 11d0 	negu	v0,v1
   2259 [ 0-9a-f]+:	0062 10d0 	rorv	v0,v1,v0
   2260 [ 0-9a-f]+:	0040 11d0 	negu	v0,v0
   2261 [ 0-9a-f]+:	0062 10d0 	rorv	v0,v1,v0
   2262 [ 0-9a-f]+:	0043 00c0 	ror	v0,v1,0x0
   2263 [ 0-9a-f]+:	0043 f8c0 	ror	v0,v1,0x1f
   2264 [ 0-9a-f]+:	0043 08c0 	ror	v0,v1,0x1
   2265 [ 0-9a-f]+:	0042 08c0 	ror	v0,v0,0x1
   2266 [ 0-9a-f]+:	0042 08c0 	ror	v0,v0,0x1
   2267 [ 0-9a-f]+:	0043 00c0 	ror	v0,v1,0x0
   2268 [ 0-9a-f]+:	0043 08c0 	ror	v0,v1,0x1
   2269 [ 0-9a-f]+:	0043 f8c0 	ror	v0,v1,0x1f
   2270 [ 0-9a-f]+:	0042 f8c0 	ror	v0,v0,0x1f
   2271 [ 0-9a-f]+:	0042 f8c0 	ror	v0,v0,0x1f
   2272 [ 0-9a-f]+:	0064 10d0 	rorv	v0,v1,a0
   2273 [ 0-9a-f]+:	0044 10d0 	rorv	v0,v0,a0
   2274 [ 0-9a-f]+:	0064 10d0 	rorv	v0,v1,a0
   2275 [ 0-9a-f]+:	0044 10d0 	rorv	v0,v0,a0
   2276 [ 0-9a-f]+:	0064 10d0 	rorv	v0,v1,a0
   2277 [ 0-9a-f]+:	0044 10d0 	rorv	v0,v0,a0
   2278 [ 0-9a-f]+:	0064 10d0 	rorv	v0,v1,a0
   2279 [ 0-9a-f]+:	0044 10d0 	rorv	v0,v0,a0
   2280 [ 0-9a-f]+:	8830      	sb	zero,0\(v1\)
   2281 [ 0-9a-f]+:	8830      	sb	zero,0\(v1\)
   2282 [ 0-9a-f]+:	8831      	sb	zero,1\(v1\)
   2283 [ 0-9a-f]+:	8832      	sb	zero,2\(v1\)
   2284 [ 0-9a-f]+:	8833      	sb	zero,3\(v1\)
   2285 [ 0-9a-f]+:	8834      	sb	zero,4\(v1\)
   2286 [ 0-9a-f]+:	8835      	sb	zero,5\(v1\)
   2287 [ 0-9a-f]+:	8836      	sb	zero,6\(v1\)
   2288 [ 0-9a-f]+:	8837      	sb	zero,7\(v1\)
   2289 [ 0-9a-f]+:	8838      	sb	zero,8\(v1\)
   2290 [ 0-9a-f]+:	8839      	sb	zero,9\(v1\)
   2291 [ 0-9a-f]+:	883a      	sb	zero,10\(v1\)
   2292 [ 0-9a-f]+:	883b      	sb	zero,11\(v1\)
   2293 [ 0-9a-f]+:	883c      	sb	zero,12\(v1\)
   2294 [ 0-9a-f]+:	883d      	sb	zero,13\(v1\)
   2295 [ 0-9a-f]+:	883e      	sb	zero,14\(v1\)
   2296 [ 0-9a-f]+:	883f      	sb	zero,15\(v1\)
   2297 [ 0-9a-f]+:	893f      	sb	v0,15\(v1\)
   2298 [ 0-9a-f]+:	89bf      	sb	v1,15\(v1\)
   2299 [ 0-9a-f]+:	8a3f      	sb	a0,15\(v1\)
   2300 [ 0-9a-f]+:	8abf      	sb	a1,15\(v1\)
   2301 [ 0-9a-f]+:	8b3f      	sb	a2,15\(v1\)
   2302 [ 0-9a-f]+:	8bbf      	sb	a3,15\(v1\)
   2303 [ 0-9a-f]+:	88bf      	sb	s1,15\(v1\)
   2304 [ 0-9a-f]+:	88cf      	sb	s1,15\(a0\)
   2305 [ 0-9a-f]+:	88df      	sb	s1,15\(a1\)
   2306 [ 0-9a-f]+:	88ef      	sb	s1,15\(a2\)
   2307 [ 0-9a-f]+:	88ff      	sb	s1,15\(a3\)
   2308 [ 0-9a-f]+:	88af      	sb	s1,15\(v0\)
   2309 [ 0-9a-f]+:	888f      	sb	s1,15\(s0\)
   2310 [ 0-9a-f]+:	889f      	sb	s1,15\(s1\)
   2311 [ 0-9a-f]+:	1860 0004 	sb	v1,4\(zero\)
   2312 [ 0-9a-f]+:	1860 0004 	sb	v1,4\(zero\)
   2313 [ 0-9a-f]+:	1860 7fff 	sb	v1,32767\(zero\)
   2314 [ 0-9a-f]+:	1860 8000 	sb	v1,-32768\(zero\)
   2315 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   2316 [ 0-9a-f]+:	1861 ffff 	sb	v1,-1\(at\)
   2317 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2318 [ 0-9a-f]+:	1861 0000 	sb	v1,0\(at\)
   2319 [ 0-9a-f]+:	1860 8000 	sb	v1,-32768\(zero\)
   2320 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2321 [ 0-9a-f]+:	1861 0001 	sb	v1,1\(at\)
   2322 [ 0-9a-f]+:	1860 8001 	sb	v1,-32767\(zero\)
   2323 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   2324 [ 0-9a-f]+:	1861 0000 	sb	v1,0\(at\)
   2325 [ 0-9a-f]+:	1860 ffff 	sb	v1,-1\(zero\)
   2326 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   2327 [ 0-9a-f]+:	1861 5678 	sb	v1,22136\(at\)
   2328 [ 0-9a-f]+:	1864 0000 	sb	v1,0\(a0\)
   2329 [ 0-9a-f]+:	1864 0000 	sb	v1,0\(a0\)
   2330 [ 0-9a-f]+:	1864 7fff 	sb	v1,32767\(a0\)
   2331 [ 0-9a-f]+:	1864 8000 	sb	v1,-32768\(a0\)
   2332 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   2333 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   2334 [ 0-9a-f]+:	1861 ffff 	sb	v1,-1\(at\)
   2335 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2336 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   2337 [ 0-9a-f]+:	1861 0000 	sb	v1,0\(at\)
   2338 [ 0-9a-f]+:	1864 8000 	sb	v1,-32768\(a0\)
   2339 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2340 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   2341 [ 0-9a-f]+:	1861 0001 	sb	v1,1\(at\)
   2342 [ 0-9a-f]+:	1864 8001 	sb	v1,-32767\(a0\)
   2343 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   2344 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   2345 [ 0-9a-f]+:	1861 0000 	sb	v1,0\(at\)
   2346 [ 0-9a-f]+:	1864 ffff 	sb	v1,-1\(a0\)
   2347 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   2348 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   2349 [ 0-9a-f]+:	1861 5678 	sb	v1,22136\(at\)
   2350 [ 0-9a-f]+:	6060 b004 	sc	v1,4\(zero\)
   2351 [ 0-9a-f]+:	6060 b004 	sc	v1,4\(zero\)
   2352 [ 0-9a-f]+:	6060 b7ff 	sc	v1,2047\(zero\)
   2353 [ 0-9a-f]+:	6060 b800 	sc	v1,-2048\(zero\)
   2354 [ 0-9a-f]+:	3020 7fff 	li	at,32767
   2355 [ 0-9a-f]+:	6061 b000 	sc	v1,0\(at\)
   2356 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   2357 [ 0-9a-f]+:	6061 b000 	sc	v1,0\(at\)
   2358 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   2359 [ 0-9a-f]+:	6061 bfff 	sc	v1,-1\(at\)
   2360 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2361 [ 0-9a-f]+:	6061 b000 	sc	v1,0\(at\)
   2362 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   2363 [ 0-9a-f]+:	6061 b000 	sc	v1,0\(at\)
   2364 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2365 [ 0-9a-f]+:	6061 b001 	sc	v1,1\(at\)
   2366 [ 0-9a-f]+:	3020 8001 	li	at,-32767
   2367 [ 0-9a-f]+:	6061 b000 	sc	v1,0\(at\)
   2368 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   2369 [ 0-9a-f]+:	6061 b000 	sc	v1,0\(at\)
   2370 [ 0-9a-f]+:	6060 bfff 	sc	v1,-1\(zero\)
   2371 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   2372 [ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
   2373 [ 0-9a-f]+:	6061 b678 	sc	v1,1656\(at\)
   2374 [ 0-9a-f]+:	6064 b000 	sc	v1,0\(a0\)
   2375 [ 0-9a-f]+:	6064 b000 	sc	v1,0\(a0\)
   2376 [ 0-9a-f]+:	6064 b7ff 	sc	v1,2047\(a0\)
   2377 [ 0-9a-f]+:	6064 b800 	sc	v1,-2048\(a0\)
   2378 [ 0-9a-f]+:	3024 7fff 	addiu	at,a0,32767
   2379 [ 0-9a-f]+:	6061 b000 	sc	v1,0\(at\)
   2380 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   2381 [ 0-9a-f]+:	6061 b000 	sc	v1,0\(at\)
   2382 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   2383 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   2384 [ 0-9a-f]+:	6061 bfff 	sc	v1,-1\(at\)
   2385 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2386 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   2387 [ 0-9a-f]+:	6061 b000 	sc	v1,0\(at\)
   2388 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   2389 [ 0-9a-f]+:	6061 b000 	sc	v1,0\(at\)
   2390 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2391 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   2392 [ 0-9a-f]+:	6061 b001 	sc	v1,1\(at\)
   2393 [ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
   2394 [ 0-9a-f]+:	6061 b000 	sc	v1,0\(at\)
   2395 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   2396 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   2397 [ 0-9a-f]+:	6061 b000 	sc	v1,0\(at\)
   2398 [ 0-9a-f]+:	6064 bfff 	sc	v1,-1\(a0\)
   2399 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   2400 [ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
   2401 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   2402 [ 0-9a-f]+:	6061 b678 	sc	v1,1656\(at\)
   2403 [ 0-9a-f]+:	46c0      	sdbbp
   2404 [ 0-9a-f]+:	46c0      	sdbbp
   2405 [ 0-9a-f]+:	46c1      	sdbbp	0x1
   2406 [ 0-9a-f]+:	46c2      	sdbbp	0x2
   2407 [ 0-9a-f]+:	46c3      	sdbbp	0x3
   2408 [ 0-9a-f]+:	46c4      	sdbbp	0x4
   2409 [ 0-9a-f]+:	46c5      	sdbbp	0x5
   2410 [ 0-9a-f]+:	46c6      	sdbbp	0x6
   2411 [ 0-9a-f]+:	46c7      	sdbbp	0x7
   2412 [ 0-9a-f]+:	46c8      	sdbbp	0x8
   2413 [ 0-9a-f]+:	46c9      	sdbbp	0x9
   2414 [ 0-9a-f]+:	46ca      	sdbbp	0xa
   2415 [ 0-9a-f]+:	46cb      	sdbbp	0xb
   2416 [ 0-9a-f]+:	46cc      	sdbbp	0xc
   2417 [ 0-9a-f]+:	46cd      	sdbbp	0xd
   2418 [ 0-9a-f]+:	46ce      	sdbbp	0xe
   2419 [ 0-9a-f]+:	46cf      	sdbbp	0xf
   2420 [ 0-9a-f]+:	0000 db7c 	sdbbp
   2421 [ 0-9a-f]+:	0000 db7c 	sdbbp
   2422 [ 0-9a-f]+:	0001 db7c 	sdbbp	0x1
   2423 [ 0-9a-f]+:	0002 db7c 	sdbbp	0x2
   2424 [ 0-9a-f]+:	00ff db7c 	sdbbp	0xff
   2425 [ 0-9a-f]+:	0043 2b3c 	seb	v0,v1
   2426 [ 0-9a-f]+:	0042 2b3c 	seb	v0,v0
   2427 [ 0-9a-f]+:	0042 2b3c 	seb	v0,v0
   2428 [ 0-9a-f]+:	0043 3b3c 	seh	v0,v1
   2429 [ 0-9a-f]+:	0042 3b3c 	seh	v0,v0
   2430 [ 0-9a-f]+:	0042 3b3c 	seh	v0,v0
   2431 [ 0-9a-f]+:	0083 1310 	xor	v0,v1,a0
   2432 [ 0-9a-f]+:	b042 0001 	sltiu	v0,v0,1
   2433 [ 0-9a-f]+:	b043 0001 	sltiu	v0,v1,1
   2434 [ 0-9a-f]+:	b044 0001 	sltiu	v0,a0,1
   2435 [ 0-9a-f]+:	b043 0001 	sltiu	v0,v1,1
   2436 [ 0-9a-f]+:	7043 0001 	xori	v0,v1,0x1
   2437 [ 0-9a-f]+:	b042 0001 	sltiu	v0,v0,1
   2438 [ 0-9a-f]+:	3043 0001 	addiu	v0,v1,1
   2439 [ 0-9a-f]+:	b042 0001 	sltiu	v0,v0,1
   2440 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2441 [ 0-9a-f]+:	5021 7fff 	ori	at,at,0x7fff
   2442 [ 0-9a-f]+:	0023 1310 	xor	v0,v1,at
   2443 [ 0-9a-f]+:	b042 0001 	sltiu	v0,v0,1
   2444 [ 0-9a-f]+:	0083 1350 	slt	v0,v1,a0
   2445 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2446 [ 0-9a-f]+:	0082 1350 	slt	v0,v0,a0
   2447 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2448 [ 0-9a-f]+:	0082 1350 	slt	v0,v0,a0
   2449 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2450 [ 0-9a-f]+:	9043 0000 	slti	v0,v1,0
   2451 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2452 [ 0-9a-f]+:	9043 8000 	slti	v0,v1,-32768
   2453 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2454 [ 0-9a-f]+:	9043 0000 	slti	v0,v1,0
   2455 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2456 [ 0-9a-f]+:	9043 7fff 	slti	v0,v1,32767
   2457 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2458 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
   2459 [ 0-9a-f]+:	0023 1350 	slt	v0,v1,at
   2460 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2461 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   2462 [ 0-9a-f]+:	0023 1350 	slt	v0,v1,at
   2463 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2464 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2465 [ 0-9a-f]+:	5021 7fff 	ori	at,at,0x7fff
   2466 [ 0-9a-f]+:	0023 1350 	slt	v0,v1,at
   2467 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2468 [ 0-9a-f]+:	0083 1390 	sltu	v0,v1,a0
   2469 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2470 [ 0-9a-f]+:	0082 1390 	sltu	v0,v0,a0
   2471 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2472 [ 0-9a-f]+:	0082 1390 	sltu	v0,v0,a0
   2473 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2474 [ 0-9a-f]+:	b043 0000 	sltiu	v0,v1,0
   2475 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2476 [ 0-9a-f]+:	b043 8000 	sltiu	v0,v1,-32768
   2477 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2478 [ 0-9a-f]+:	b043 0000 	sltiu	v0,v1,0
   2479 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2480 [ 0-9a-f]+:	b043 7fff 	sltiu	v0,v1,32767
   2481 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2482 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
   2483 [ 0-9a-f]+:	0023 1390 	sltu	v0,v1,at
   2484 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2485 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   2486 [ 0-9a-f]+:	0023 1390 	sltu	v0,v1,at
   2487 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2488 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2489 [ 0-9a-f]+:	5021 7fff 	ori	at,at,0x7fff
   2490 [ 0-9a-f]+:	0023 1390 	sltu	v0,v1,at
   2491 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2492 [ 0-9a-f]+:	0064 1350 	slt	v0,a0,v1
   2493 [ 0-9a-f]+:	0044 1350 	slt	v0,a0,v0
   2494 [ 0-9a-f]+:	0044 1350 	slt	v0,a0,v0
   2495 [ 0-9a-f]+:	3020 0000 	li	at,0
   2496 [ 0-9a-f]+:	0061 1350 	slt	v0,at,v1
   2497 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   2498 [ 0-9a-f]+:	0061 1350 	slt	v0,at,v1
   2499 [ 0-9a-f]+:	3020 0000 	li	at,0
   2500 [ 0-9a-f]+:	0061 1350 	slt	v0,at,v1
   2501 [ 0-9a-f]+:	3020 7fff 	li	at,32767
   2502 [ 0-9a-f]+:	0061 1350 	slt	v0,at,v1
   2503 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
   2504 [ 0-9a-f]+:	0061 1350 	slt	v0,at,v1
   2505 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   2506 [ 0-9a-f]+:	0061 1350 	slt	v0,at,v1
   2507 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2508 [ 0-9a-f]+:	5021 7fff 	ori	at,at,0x7fff
   2509 [ 0-9a-f]+:	0061 1350 	slt	v0,at,v1
   2510 [ 0-9a-f]+:	0064 1390 	sltu	v0,a0,v1
   2511 [ 0-9a-f]+:	0044 1390 	sltu	v0,a0,v0
   2512 [ 0-9a-f]+:	0044 1390 	sltu	v0,a0,v0
   2513 [ 0-9a-f]+:	3020 0000 	li	at,0
   2514 [ 0-9a-f]+:	0061 1390 	sltu	v0,at,v1
   2515 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   2516 [ 0-9a-f]+:	0061 1390 	sltu	v0,at,v1
   2517 [ 0-9a-f]+:	3020 0000 	li	at,0
   2518 [ 0-9a-f]+:	0061 1390 	sltu	v0,at,v1
   2519 [ 0-9a-f]+:	3020 7fff 	li	at,32767
   2520 [ 0-9a-f]+:	0061 1390 	sltu	v0,at,v1
   2521 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
   2522 [ 0-9a-f]+:	0061 1390 	sltu	v0,at,v1
   2523 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   2524 [ 0-9a-f]+:	0061 1390 	sltu	v0,at,v1
   2525 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2526 [ 0-9a-f]+:	5021 7fff 	ori	at,at,0x7fff
   2527 [ 0-9a-f]+:	0061 1390 	sltu	v0,at,v1
   2528 [ 0-9a-f]+:	a930      	sh	v0,0\(v1\)
   2529 [ 0-9a-f]+:	a930      	sh	v0,0\(v1\)
   2530 [ 0-9a-f]+:	a931      	sh	v0,2\(v1\)
   2531 [ 0-9a-f]+:	a932      	sh	v0,4\(v1\)
   2532 [ 0-9a-f]+:	a933      	sh	v0,6\(v1\)
   2533 [ 0-9a-f]+:	a934      	sh	v0,8\(v1\)
   2534 [ 0-9a-f]+:	a935      	sh	v0,10\(v1\)
   2535 [ 0-9a-f]+:	a936      	sh	v0,12\(v1\)
   2536 [ 0-9a-f]+:	a937      	sh	v0,14\(v1\)
   2537 [ 0-9a-f]+:	a938      	sh	v0,16\(v1\)
   2538 [ 0-9a-f]+:	a939      	sh	v0,18\(v1\)
   2539 [ 0-9a-f]+:	a93a      	sh	v0,20\(v1\)
   2540 [ 0-9a-f]+:	a93b      	sh	v0,22\(v1\)
   2541 [ 0-9a-f]+:	a93c      	sh	v0,24\(v1\)
   2542 [ 0-9a-f]+:	a93d      	sh	v0,26\(v1\)
   2543 [ 0-9a-f]+:	a93e      	sh	v0,28\(v1\)
   2544 [ 0-9a-f]+:	a93f      	sh	v0,30\(v1\)
   2545 [ 0-9a-f]+:	a94f      	sh	v0,30\(a0\)
   2546 [ 0-9a-f]+:	a95f      	sh	v0,30\(a1\)
   2547 [ 0-9a-f]+:	a96f      	sh	v0,30\(a2\)
   2548 [ 0-9a-f]+:	a97f      	sh	v0,30\(a3\)
   2549 [ 0-9a-f]+:	a92f      	sh	v0,30\(v0\)
   2550 [ 0-9a-f]+:	a90f      	sh	v0,30\(s0\)
   2551 [ 0-9a-f]+:	a91f      	sh	v0,30\(s1\)
   2552 [ 0-9a-f]+:	a99f      	sh	v1,30\(s1\)
   2553 [ 0-9a-f]+:	aa1f      	sh	a0,30\(s1\)
   2554 [ 0-9a-f]+:	aa9f      	sh	a1,30\(s1\)
   2555 [ 0-9a-f]+:	ab1f      	sh	a2,30\(s1\)
   2556 [ 0-9a-f]+:	ab9f      	sh	a3,30\(s1\)
   2557 [ 0-9a-f]+:	a89f      	sh	s1,30\(s1\)
   2558 [ 0-9a-f]+:	a81f      	sh	zero,30\(s1\)
   2559 [ 0-9a-f]+:	3860 0004 	sh	v1,4\(zero\)
   2560 [ 0-9a-f]+:	3860 0004 	sh	v1,4\(zero\)
   2561 [ 0-9a-f]+:	3860 7fff 	sh	v1,32767\(zero\)
   2562 [ 0-9a-f]+:	3860 8000 	sh	v1,-32768\(zero\)
   2563 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   2564 [ 0-9a-f]+:	3861 ffff 	sh	v1,-1\(at\)
   2565 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2566 [ 0-9a-f]+:	3861 0000 	sh	v1,0\(at\)
   2567 [ 0-9a-f]+:	3860 8000 	sh	v1,-32768\(zero\)
   2568 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2569 [ 0-9a-f]+:	3861 0001 	sh	v1,1\(at\)
   2570 [ 0-9a-f]+:	3860 8001 	sh	v1,-32767\(zero\)
   2571 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   2572 [ 0-9a-f]+:	3861 0000 	sh	v1,0\(at\)
   2573 [ 0-9a-f]+:	3860 ffff 	sh	v1,-1\(zero\)
   2574 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   2575 [ 0-9a-f]+:	3861 5678 	sh	v1,22136\(at\)
   2576 [ 0-9a-f]+:	3864 0000 	sh	v1,0\(a0\)
   2577 [ 0-9a-f]+:	3864 0000 	sh	v1,0\(a0\)
   2578 [ 0-9a-f]+:	3864 7fff 	sh	v1,32767\(a0\)
   2579 [ 0-9a-f]+:	3864 8000 	sh	v1,-32768\(a0\)
   2580 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   2581 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   2582 [ 0-9a-f]+:	3861 ffff 	sh	v1,-1\(at\)
   2583 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2584 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   2585 [ 0-9a-f]+:	3861 0000 	sh	v1,0\(at\)
   2586 [ 0-9a-f]+:	3864 8000 	sh	v1,-32768\(a0\)
   2587 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2588 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   2589 [ 0-9a-f]+:	3861 0001 	sh	v1,1\(at\)
   2590 [ 0-9a-f]+:	3864 8001 	sh	v1,-32767\(a0\)
   2591 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   2592 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   2593 [ 0-9a-f]+:	3861 0000 	sh	v1,0\(at\)
   2594 [ 0-9a-f]+:	3864 ffff 	sh	v1,-1\(a0\)
   2595 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   2596 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   2597 [ 0-9a-f]+:	3861 5678 	sh	v1,22136\(at\)
   2598 [ 0-9a-f]+:	0064 1350 	slt	v0,a0,v1
   2599 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2600 [ 0-9a-f]+:	0044 1350 	slt	v0,a0,v0
   2601 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2602 [ 0-9a-f]+:	0044 1350 	slt	v0,a0,v0
   2603 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2604 [ 0-9a-f]+:	3020 0000 	li	at,0
   2605 [ 0-9a-f]+:	0061 1350 	slt	v0,at,v1
   2606 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2607 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   2608 [ 0-9a-f]+:	0061 1350 	slt	v0,at,v1
   2609 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2610 [ 0-9a-f]+:	3020 0000 	li	at,0
   2611 [ 0-9a-f]+:	0061 1350 	slt	v0,at,v1
   2612 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2613 [ 0-9a-f]+:	3020 7fff 	li	at,32767
   2614 [ 0-9a-f]+:	0061 1350 	slt	v0,at,v1
   2615 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2616 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
   2617 [ 0-9a-f]+:	0061 1350 	slt	v0,at,v1
   2618 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2619 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   2620 [ 0-9a-f]+:	0061 1350 	slt	v0,at,v1
   2621 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2622 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2623 [ 0-9a-f]+:	5021 7fff 	ori	at,at,0x7fff
   2624 [ 0-9a-f]+:	0061 1350 	slt	v0,at,v1
   2625 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2626 [ 0-9a-f]+:	0064 1390 	sltu	v0,a0,v1
   2627 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2628 [ 0-9a-f]+:	0044 1390 	sltu	v0,a0,v0
   2629 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2630 [ 0-9a-f]+:	0044 1390 	sltu	v0,a0,v0
   2631 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2632 [ 0-9a-f]+:	3020 0000 	li	at,0
   2633 [ 0-9a-f]+:	0061 1390 	sltu	v0,at,v1
   2634 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2635 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   2636 [ 0-9a-f]+:	0061 1390 	sltu	v0,at,v1
   2637 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2638 [ 0-9a-f]+:	3020 0000 	li	at,0
   2639 [ 0-9a-f]+:	0061 1390 	sltu	v0,at,v1
   2640 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2641 [ 0-9a-f]+:	3020 7fff 	li	at,32767
   2642 [ 0-9a-f]+:	0061 1390 	sltu	v0,at,v1
   2643 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2644 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
   2645 [ 0-9a-f]+:	0061 1390 	sltu	v0,at,v1
   2646 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2647 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   2648 [ 0-9a-f]+:	0061 1390 	sltu	v0,at,v1
   2649 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2650 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2651 [ 0-9a-f]+:	5021 7fff 	ori	at,at,0x7fff
   2652 [ 0-9a-f]+:	0061 1390 	sltu	v0,at,v1
   2653 [ 0-9a-f]+:	7042 0001 	xori	v0,v0,0x1
   2654 [ 0-9a-f]+:	2522      	sll	v0,v0,1
   2655 [ 0-9a-f]+:	2524      	sll	v0,v0,2
   2656 [ 0-9a-f]+:	2526      	sll	v0,v0,3
   2657 [ 0-9a-f]+:	2528      	sll	v0,v0,4
   2658 [ 0-9a-f]+:	252a      	sll	v0,v0,5
   2659 [ 0-9a-f]+:	252c      	sll	v0,v0,6
   2660 [ 0-9a-f]+:	252e      	sll	v0,v0,7
   2661 [ 0-9a-f]+:	2520      	sll	v0,v0,8
   2662 [ 0-9a-f]+:	2530      	sll	v0,v1,8
   2663 [ 0-9a-f]+:	2540      	sll	v0,a0,8
   2664 [ 0-9a-f]+:	2550      	sll	v0,a1,8
   2665 [ 0-9a-f]+:	2560      	sll	v0,a2,8
   2666 [ 0-9a-f]+:	2570      	sll	v0,a3,8
   2667 [ 0-9a-f]+:	2500      	sll	v0,s0,8
   2668 [ 0-9a-f]+:	2510      	sll	v0,s1,8
   2669 [ 0-9a-f]+:	25a0      	sll	v1,v0,8
   2670 [ 0-9a-f]+:	2620      	sll	a0,v0,8
   2671 [ 0-9a-f]+:	26a0      	sll	a1,v0,8
   2672 [ 0-9a-f]+:	2720      	sll	a2,v0,8
   2673 [ 0-9a-f]+:	27a0      	sll	a3,v0,8
   2674 [ 0-9a-f]+:	2420      	sll	s0,v0,8
   2675 [ 0-9a-f]+:	24a0      	sll	s1,v0,8
   2676 [ 0-9a-f]+:	2522      	sll	v0,v0,1
   2677 [ 0-9a-f]+:	25b2      	sll	v1,v1,1
   2678 [ 0-9a-f]+:	0064 1010 	sllv	v0,v1,a0
   2679 [ 0-9a-f]+:	0044 1010 	sllv	v0,v0,a0
   2680 [ 0-9a-f]+:	0044 1010 	sllv	v0,v0,a0
   2681 [ 0-9a-f]+:	0044 1010 	sllv	v0,v0,a0
   2682 [ 0-9a-f]+:	0044 0000 	sll	v0,a0,0x0
   2683 [ 0-9a-f]+:	0044 0800 	sll	v0,a0,0x1
   2684 [ 0-9a-f]+:	0044 f800 	sll	v0,a0,0x1f
   2685 [ 0-9a-f]+:	0042 f800 	sll	v0,v0,0x1f
   2686 [ 0-9a-f]+:	0042 f800 	sll	v0,v0,0x1f
   2687 [ 0-9a-f]+:	0083 1350 	slt	v0,v1,a0
   2688 [ 0-9a-f]+:	0082 1350 	slt	v0,v0,a0
   2689 [ 0-9a-f]+:	0082 1350 	slt	v0,v0,a0
   2690 [ 0-9a-f]+:	9043 0000 	slti	v0,v1,0
   2691 [ 0-9a-f]+:	9043 8000 	slti	v0,v1,-32768
   2692 [ 0-9a-f]+:	9043 0000 	slti	v0,v1,0
   2693 [ 0-9a-f]+:	9043 7fff 	slti	v0,v1,32767
   2694 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
   2695 [ 0-9a-f]+:	0023 1350 	slt	v0,v1,at
   2696 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   2697 [ 0-9a-f]+:	0023 1350 	slt	v0,v1,at
   2698 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2699 [ 0-9a-f]+:	5021 7fff 	ori	at,at,0x7fff
   2700 [ 0-9a-f]+:	0023 1350 	slt	v0,v1,at
   2701 [ 0-9a-f]+:	9064 8000 	slti	v1,a0,-32768
   2702 [ 0-9a-f]+:	9064 0000 	slti	v1,a0,0
   2703 [ 0-9a-f]+:	9064 7fff 	slti	v1,a0,32767
   2704 [ 0-9a-f]+:	9064 ffff 	slti	v1,a0,-1
   2705 [ 0-9a-f]+:	9063 ffff 	slti	v1,v1,-1
   2706 [ 0-9a-f]+:	9063 ffff 	slti	v1,v1,-1
   2707 [ 0-9a-f]+:	b064 8000 	sltiu	v1,a0,-32768
   2708 [ 0-9a-f]+:	b064 0000 	sltiu	v1,a0,0
   2709 [ 0-9a-f]+:	b064 7fff 	sltiu	v1,a0,32767
   2710 [ 0-9a-f]+:	b064 ffff 	sltiu	v1,a0,-1
   2711 [ 0-9a-f]+:	b063 ffff 	sltiu	v1,v1,-1
   2712 [ 0-9a-f]+:	b063 ffff 	sltiu	v1,v1,-1
   2713 [ 0-9a-f]+:	0083 1390 	sltu	v0,v1,a0
   2714 [ 0-9a-f]+:	0082 1390 	sltu	v0,v0,a0
   2715 [ 0-9a-f]+:	0082 1390 	sltu	v0,v0,a0
   2716 [ 0-9a-f]+:	b043 0000 	sltiu	v0,v1,0
   2717 [ 0-9a-f]+:	b043 8000 	sltiu	v0,v1,-32768
   2718 [ 0-9a-f]+:	b043 0000 	sltiu	v0,v1,0
   2719 [ 0-9a-f]+:	b043 7fff 	sltiu	v0,v1,32767
   2720 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
   2721 [ 0-9a-f]+:	0023 1390 	sltu	v0,v1,at
   2722 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   2723 [ 0-9a-f]+:	0023 1390 	sltu	v0,v1,at
   2724 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2725 [ 0-9a-f]+:	5021 7fff 	ori	at,at,0x7fff
   2726 [ 0-9a-f]+:	0023 1390 	sltu	v0,v1,at
   2727 [ 0-9a-f]+:	0083 1310 	xor	v0,v1,a0
   2728 [ 0-9a-f]+:	0040 1390 	sltu	v0,zero,v0
   2729 [ 0-9a-f]+:	0080 1390 	sltu	v0,zero,a0
   2730 [ 0-9a-f]+:	0060 1390 	sltu	v0,zero,v1
   2731 [ 0-9a-f]+:	0060 1390 	sltu	v0,zero,v1
   2732 [ 0-9a-f]+:	7043 0001 	xori	v0,v1,0x1
   2733 [ 0-9a-f]+:	0040 1390 	sltu	v0,zero,v0
   2734 [ 0-9a-f]+:	3043 0001 	addiu	v0,v1,1
   2735 [ 0-9a-f]+:	0040 1390 	sltu	v0,zero,v0
   2736 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2737 [ 0-9a-f]+:	5021 7fff 	ori	at,at,0x7fff
   2738 [ 0-9a-f]+:	0023 1310 	xor	v0,v1,at
   2739 [ 0-9a-f]+:	0040 1390 	sltu	v0,zero,v0
   2740 [ 0-9a-f]+:	0064 1090 	srav	v0,v1,a0
   2741 [ 0-9a-f]+:	0044 1090 	srav	v0,v0,a0
   2742 [ 0-9a-f]+:	0044 1090 	srav	v0,v0,a0
   2743 [ 0-9a-f]+:	0044 1090 	srav	v0,v0,a0
   2744 [ 0-9a-f]+:	0044 0080 	sra	v0,a0,0x0
   2745 [ 0-9a-f]+:	0044 0880 	sra	v0,a0,0x1
   2746 [ 0-9a-f]+:	0044 f880 	sra	v0,a0,0x1f
   2747 [ 0-9a-f]+:	0042 f880 	sra	v0,v0,0x1f
   2748 [ 0-9a-f]+:	0042 f880 	sra	v0,v0,0x1f
   2749 [ 0-9a-f]+:	0064 1050 	srlv	v0,v1,a0
   2750 [ 0-9a-f]+:	0044 1050 	srlv	v0,v0,a0
   2751 [ 0-9a-f]+:	0044 1050 	srlv	v0,v0,a0
   2752 [ 0-9a-f]+:	0044 1050 	srlv	v0,v0,a0
   2753 [ 0-9a-f]+:	0044 0040 	srl	v0,a0,0x0
   2754 [ 0-9a-f]+:	2543      	srl	v0,a0,1
   2755 [ 0-9a-f]+:	0044 f840 	srl	v0,a0,0x1f
   2756 [ 0-9a-f]+:	0042 f840 	srl	v0,v0,0x1f
   2757 [ 0-9a-f]+:	0042 f840 	srl	v0,v0,0x1f
   2758 [ 0-9a-f]+:	2523      	srl	v0,v0,1
   2759 [ 0-9a-f]+:	2525      	srl	v0,v0,2
   2760 [ 0-9a-f]+:	2527      	srl	v0,v0,3
   2761 [ 0-9a-f]+:	2529      	srl	v0,v0,4
   2762 [ 0-9a-f]+:	252b      	srl	v0,v0,5
   2763 [ 0-9a-f]+:	252d      	srl	v0,v0,6
   2764 [ 0-9a-f]+:	252f      	srl	v0,v0,7
   2765 [ 0-9a-f]+:	2521      	srl	v0,v0,8
   2766 [ 0-9a-f]+:	2531      	srl	v0,v1,8
   2767 [ 0-9a-f]+:	2541      	srl	v0,a0,8
   2768 [ 0-9a-f]+:	2551      	srl	v0,a1,8
   2769 [ 0-9a-f]+:	2561      	srl	v0,a2,8
   2770 [ 0-9a-f]+:	2571      	srl	v0,a3,8
   2771 [ 0-9a-f]+:	2501      	srl	v0,s0,8
   2772 [ 0-9a-f]+:	2511      	srl	v0,s1,8
   2773 [ 0-9a-f]+:	2521      	srl	v0,v0,8
   2774 [ 0-9a-f]+:	25a1      	srl	v1,v0,8
   2775 [ 0-9a-f]+:	2621      	srl	a0,v0,8
   2776 [ 0-9a-f]+:	26a1      	srl	a1,v0,8
   2777 [ 0-9a-f]+:	2721      	srl	a2,v0,8
   2778 [ 0-9a-f]+:	27a1      	srl	a3,v0,8
   2779 [ 0-9a-f]+:	2421      	srl	s0,v0,8
   2780 [ 0-9a-f]+:	24a1      	srl	s1,v0,8
   2781 [ 0-9a-f]+:	25b3      	srl	v1,v1,1
   2782 [ 0-9a-f]+:	25b3      	srl	v1,v1,1
   2783 [ 0-9a-f]+:	0083 1190 	sub	v0,v1,a0
   2784 [ 0-9a-f]+:	03fe e990 	sub	sp,s8,ra
   2785 [ 0-9a-f]+:	0082 1190 	sub	v0,v0,a0
   2786 [ 0-9a-f]+:	0082 1190 	sub	v0,v0,a0
   2787 [ 0-9a-f]+:	1042 0000 	addi	v0,v0,0
   2788 [ 0-9a-f]+:	1042 ffff 	addi	v0,v0,-1
   2789 [ 0-9a-f]+:	1042 8001 	addi	v0,v0,-32767
   2790 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   2791 [ 0-9a-f]+:	0022 1190 	sub	v0,v0,at
   2792 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
   2793 [ 0-9a-f]+:	0022 1190 	sub	v0,v0,at
   2794 [ 0-9a-f]+:	0527      	subu	v0,v1,v0
   2795 [ 0-9a-f]+:	0537      	subu	v0,v1,v1
   2796 [ 0-9a-f]+:	0547      	subu	v0,v1,a0
   2797 [ 0-9a-f]+:	0557      	subu	v0,v1,a1
   2798 [ 0-9a-f]+:	0567      	subu	v0,v1,a2
   2799 [ 0-9a-f]+:	0577      	subu	v0,v1,a3
   2800 [ 0-9a-f]+:	0507      	subu	v0,v1,s0
   2801 [ 0-9a-f]+:	0517      	subu	v0,v1,s1
   2802 [ 0-9a-f]+:	0515      	subu	v0,v0,s1
   2803 [ 0-9a-f]+:	0519      	subu	v0,a0,s1
   2804 [ 0-9a-f]+:	051b      	subu	v0,a1,s1
   2805 [ 0-9a-f]+:	051d      	subu	v0,a2,s1
   2806 [ 0-9a-f]+:	051f      	subu	v0,a3,s1
   2807 [ 0-9a-f]+:	0511      	subu	v0,s0,s1
   2808 [ 0-9a-f]+:	0513      	subu	v0,s1,s1
   2809 [ 0-9a-f]+:	0515      	subu	v0,v0,s1
   2810 [ 0-9a-f]+:	0595      	subu	v1,v0,s1
   2811 [ 0-9a-f]+:	0615      	subu	a0,v0,s1
   2812 [ 0-9a-f]+:	0695      	subu	a1,v0,s1
   2813 [ 0-9a-f]+:	0715      	subu	a2,v0,s1
   2814 [ 0-9a-f]+:	0795      	subu	a3,v0,s1
   2815 [ 0-9a-f]+:	0415      	subu	s0,v0,s1
   2816 [ 0-9a-f]+:	0495      	subu	s1,v0,s1
   2817 [ 0-9a-f]+:	07af      	subu	a3,a3,v0
   2818 [ 0-9a-f]+:	07af      	subu	a3,a3,v0
   2819 [ 0-9a-f]+:	0083 11d0 	subu	v0,v1,a0
   2820 [ 0-9a-f]+:	03fe e9d0 	subu	sp,s8,ra
   2821 [ 0-9a-f]+:	0082 11d0 	subu	v0,v0,a0
   2822 [ 0-9a-f]+:	0082 11d0 	subu	v0,v0,a0
   2823 [ 0-9a-f]+:	3042 0000 	addiu	v0,v0,0
   2824 [ 0-9a-f]+:	3042 ffff 	addiu	v0,v0,-1
   2825 [ 0-9a-f]+:	3042 8001 	addiu	v0,v0,-32767
   2826 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   2827 [ 0-9a-f]+:	0022 11d0 	subu	v0,v0,at
   2828 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
   2829 [ 0-9a-f]+:	0022 11d0 	subu	v0,v0,at
   2830 [ 0-9a-f]+:	e940      	sw	v0,0\(a0\)
   2831 [ 0-9a-f]+:	e940      	sw	v0,0\(a0\)
   2832 [ 0-9a-f]+:	e941      	sw	v0,4\(a0\)
   2833 [ 0-9a-f]+:	e942      	sw	v0,8\(a0\)
   2834 [ 0-9a-f]+:	e943      	sw	v0,12\(a0\)
   2835 [ 0-9a-f]+:	e944      	sw	v0,16\(a0\)
   2836 [ 0-9a-f]+:	e945      	sw	v0,20\(a0\)
   2837 [ 0-9a-f]+:	e946      	sw	v0,24\(a0\)
   2838 [ 0-9a-f]+:	e947      	sw	v0,28\(a0\)
   2839 [ 0-9a-f]+:	e948      	sw	v0,32\(a0\)
   2840 [ 0-9a-f]+:	e949      	sw	v0,36\(a0\)
   2841 [ 0-9a-f]+:	e94a      	sw	v0,40\(a0\)
   2842 [ 0-9a-f]+:	e94b      	sw	v0,44\(a0\)
   2843 [ 0-9a-f]+:	e94c      	sw	v0,48\(a0\)
   2844 [ 0-9a-f]+:	e94d      	sw	v0,52\(a0\)
   2845 [ 0-9a-f]+:	e94e      	sw	v0,56\(a0\)
   2846 [ 0-9a-f]+:	e94f      	sw	v0,60\(a0\)
   2847 [ 0-9a-f]+:	e95f      	sw	v0,60\(a1\)
   2848 [ 0-9a-f]+:	e96f      	sw	v0,60\(a2\)
   2849 [ 0-9a-f]+:	e97f      	sw	v0,60\(a3\)
   2850 [ 0-9a-f]+:	e90f      	sw	v0,60\(s0\)
   2851 [ 0-9a-f]+:	e91f      	sw	v0,60\(s1\)
   2852 [ 0-9a-f]+:	e92f      	sw	v0,60\(v0\)
   2853 [ 0-9a-f]+:	e93f      	sw	v0,60\(v1\)
   2854 [ 0-9a-f]+:	e9bf      	sw	v1,60\(v1\)
   2855 [ 0-9a-f]+:	ea3f      	sw	a0,60\(v1\)
   2856 [ 0-9a-f]+:	eabf      	sw	a1,60\(v1\)
   2857 [ 0-9a-f]+:	eb3f      	sw	a2,60\(v1\)
   2858 [ 0-9a-f]+:	ebbf      	sw	a3,60\(v1\)
   2859 [ 0-9a-f]+:	e8bf      	sw	s1,60\(v1\)
   2860 [ 0-9a-f]+:	e83f      	sw	zero,60\(v1\)
   2861 [ 0-9a-f]+:	c800      	sw	zero,0\(sp\)
   2862 [ 0-9a-f]+:	c800      	sw	zero,0\(sp\)
   2863 [ 0-9a-f]+:	c801      	sw	zero,4\(sp\)
   2864 [ 0-9a-f]+:	c802      	sw	zero,8\(sp\)
   2865 [ 0-9a-f]+:	c803      	sw	zero,12\(sp\)
   2866 [ 0-9a-f]+:	c804      	sw	zero,16\(sp\)
   2867 [ 0-9a-f]+:	c805      	sw	zero,20\(sp\)
   2868 [ 0-9a-f]+:	c81e      	sw	zero,120\(sp\)
   2869 [ 0-9a-f]+:	c81f      	sw	zero,124\(sp\)
   2870 [ 0-9a-f]+:	c85f      	sw	v0,124\(sp\)
   2871 [ 0-9a-f]+:	ca3f      	sw	s1,124\(sp\)
   2872 [ 0-9a-f]+:	c87f      	sw	v1,124\(sp\)
   2873 [ 0-9a-f]+:	c89f      	sw	a0,124\(sp\)
   2874 [ 0-9a-f]+:	c8bf      	sw	a1,124\(sp\)
   2875 [ 0-9a-f]+:	c8df      	sw	a2,124\(sp\)
   2876 [ 0-9a-f]+:	c8ff      	sw	a3,124\(sp\)
   2877 [ 0-9a-f]+:	cbff      	sw	ra,124\(sp\)
   2878 [ 0-9a-f]+:	f860 0004 	sw	v1,4\(zero\)
   2879 [ 0-9a-f]+:	f860 0004 	sw	v1,4\(zero\)
   2880 [ 0-9a-f]+:	f860 7fff 	sw	v1,32767\(zero\)
   2881 [ 0-9a-f]+:	f860 8000 	sw	v1,-32768\(zero\)
   2882 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   2883 [ 0-9a-f]+:	f861 ffff 	sw	v1,-1\(at\)
   2884 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2885 [ 0-9a-f]+:	f861 0000 	sw	v1,0\(at\)
   2886 [ 0-9a-f]+:	f860 8000 	sw	v1,-32768\(zero\)
   2887 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2888 [ 0-9a-f]+:	f861 0001 	sw	v1,1\(at\)
   2889 [ 0-9a-f]+:	f860 8001 	sw	v1,-32767\(zero\)
   2890 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   2891 [ 0-9a-f]+:	f861 0000 	sw	v1,0\(at\)
   2892 [ 0-9a-f]+:	f860 ffff 	sw	v1,-1\(zero\)
   2893 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   2894 [ 0-9a-f]+:	f861 5678 	sw	v1,22136\(at\)
   2895 [ 0-9a-f]+:	f864 0000 	sw	v1,0\(a0\)
   2896 [ 0-9a-f]+:	f864 0000 	sw	v1,0\(a0\)
   2897 [ 0-9a-f]+:	f864 7fff 	sw	v1,32767\(a0\)
   2898 [ 0-9a-f]+:	f864 8000 	sw	v1,-32768\(a0\)
   2899 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   2900 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   2901 [ 0-9a-f]+:	f861 ffff 	sw	v1,-1\(at\)
   2902 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2903 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   2904 [ 0-9a-f]+:	f861 0000 	sw	v1,0\(at\)
   2905 [ 0-9a-f]+:	f864 8000 	sw	v1,-32768\(a0\)
   2906 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2907 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   2908 [ 0-9a-f]+:	f861 0001 	sw	v1,1\(at\)
   2909 [ 0-9a-f]+:	f864 8001 	sw	v1,-32767\(a0\)
   2910 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   2911 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   2912 [ 0-9a-f]+:	f861 0000 	sw	v1,0\(at\)
   2913 [ 0-9a-f]+:	f864 ffff 	sw	v1,-1\(a0\)
   2914 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   2915 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   2916 [ 0-9a-f]+:	f861 5678 	sw	v1,22136\(at\)
   2917 [ 0-9a-f]+:	6060 8004 	swl	v1,4\(zero\)
   2918 [ 0-9a-f]+:	6060 8004 	swl	v1,4\(zero\)
   2919 [ 0-9a-f]+:	6060 87ff 	swl	v1,2047\(zero\)
   2920 [ 0-9a-f]+:	6060 8800 	swl	v1,-2048\(zero\)
   2921 [ 0-9a-f]+:	3020 7fff 	li	at,32767
   2922 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   2923 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   2924 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   2925 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   2926 [ 0-9a-f]+:	6061 8fff 	swl	v1,-1\(at\)
   2927 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2928 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   2929 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   2930 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   2931 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2932 [ 0-9a-f]+:	6061 8001 	swl	v1,1\(at\)
   2933 [ 0-9a-f]+:	3020 8001 	li	at,-32767
   2934 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   2935 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   2936 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   2937 [ 0-9a-f]+:	6060 8fff 	swl	v1,-1\(zero\)
   2938 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   2939 [ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
   2940 [ 0-9a-f]+:	6061 8678 	swl	v1,1656\(at\)
   2941 [ 0-9a-f]+:	6064 8000 	swl	v1,0\(a0\)
   2942 [ 0-9a-f]+:	6064 8000 	swl	v1,0\(a0\)
   2943 [ 0-9a-f]+:	6064 87ff 	swl	v1,2047\(a0\)
   2944 [ 0-9a-f]+:	6064 8800 	swl	v1,-2048\(a0\)
   2945 [ 0-9a-f]+:	3024 7fff 	addiu	at,a0,32767
   2946 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   2947 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   2948 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   2949 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   2950 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   2951 [ 0-9a-f]+:	6061 8fff 	swl	v1,-1\(at\)
   2952 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2953 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   2954 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   2955 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   2956 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   2957 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2958 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   2959 [ 0-9a-f]+:	6061 8001 	swl	v1,1\(at\)
   2960 [ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
   2961 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   2962 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   2963 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   2964 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   2965 [ 0-9a-f]+:	6064 8fff 	swl	v1,-1\(a0\)
   2966 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   2967 [ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
   2968 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   2969 [ 0-9a-f]+:	6061 8678 	swl	v1,1656\(at\)
   2970 [ 0-9a-f]+:	6060 9004 	swr	v1,4\(zero\)
   2971 [ 0-9a-f]+:	6060 9004 	swr	v1,4\(zero\)
   2972 [ 0-9a-f]+:	6060 97ff 	swr	v1,2047\(zero\)
   2973 [ 0-9a-f]+:	6060 9800 	swr	v1,-2048\(zero\)
   2974 [ 0-9a-f]+:	3020 7fff 	li	at,32767
   2975 [ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
   2976 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   2977 [ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
   2978 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   2979 [ 0-9a-f]+:	6061 9fff 	swr	v1,-1\(at\)
   2980 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2981 [ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
   2982 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   2983 [ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
   2984 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   2985 [ 0-9a-f]+:	6061 9001 	swr	v1,1\(at\)
   2986 [ 0-9a-f]+:	3020 8001 	li	at,-32767
   2987 [ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
   2988 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   2989 [ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
   2990 [ 0-9a-f]+:	6060 9fff 	swr	v1,-1\(zero\)
   2991 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   2992 [ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
   2993 [ 0-9a-f]+:	6061 9678 	swr	v1,1656\(at\)
   2994 [ 0-9a-f]+:	6064 9000 	swr	v1,0\(a0\)
   2995 [ 0-9a-f]+:	6064 9000 	swr	v1,0\(a0\)
   2996 [ 0-9a-f]+:	6064 97ff 	swr	v1,2047\(a0\)
   2997 [ 0-9a-f]+:	6064 9800 	swr	v1,-2048\(a0\)
   2998 [ 0-9a-f]+:	3024 7fff 	addiu	at,a0,32767
   2999 [ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
   3000 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   3001 [ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
   3002 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   3003 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3004 [ 0-9a-f]+:	6061 9fff 	swr	v1,-1\(at\)
   3005 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   3006 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3007 [ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
   3008 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   3009 [ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
   3010 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   3011 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3012 [ 0-9a-f]+:	6061 9001 	swr	v1,1\(at\)
   3013 [ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
   3014 [ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
   3015 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   3016 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3017 [ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
   3018 [ 0-9a-f]+:	6064 9fff 	swr	v1,-1\(a0\)
   3019 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   3020 [ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
   3021 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3022 [ 0-9a-f]+:	6061 9678 	swr	v1,1656\(at\)
   3023 [ 0-9a-f]+:	6060 8004 	swl	v1,4\(zero\)
   3024 [ 0-9a-f]+:	6060 8004 	swl	v1,4\(zero\)
   3025 [ 0-9a-f]+:	6060 87ff 	swl	v1,2047\(zero\)
   3026 [ 0-9a-f]+:	6060 8800 	swl	v1,-2048\(zero\)
   3027 [ 0-9a-f]+:	3020 7fff 	li	at,32767
   3028 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3029 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   3030 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3031 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   3032 [ 0-9a-f]+:	6061 8fff 	swl	v1,-1\(at\)
   3033 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   3034 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3035 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   3036 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3037 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   3038 [ 0-9a-f]+:	6061 8001 	swl	v1,1\(at\)
   3039 [ 0-9a-f]+:	3020 8001 	li	at,-32767
   3040 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3041 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   3042 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3043 [ 0-9a-f]+:	6060 8fff 	swl	v1,-1\(zero\)
   3044 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   3045 [ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
   3046 [ 0-9a-f]+:	6061 8678 	swl	v1,1656\(at\)
   3047 [ 0-9a-f]+:	6064 8000 	swl	v1,0\(a0\)
   3048 [ 0-9a-f]+:	6064 8000 	swl	v1,0\(a0\)
   3049 [ 0-9a-f]+:	6064 87ff 	swl	v1,2047\(a0\)
   3050 [ 0-9a-f]+:	6064 8800 	swl	v1,-2048\(a0\)
   3051 [ 0-9a-f]+:	3024 7fff 	addiu	at,a0,32767
   3052 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3053 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   3054 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3055 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   3056 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3057 [ 0-9a-f]+:	6061 8fff 	swl	v1,-1\(at\)
   3058 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   3059 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3060 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3061 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   3062 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3063 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   3064 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3065 [ 0-9a-f]+:	6061 8001 	swl	v1,1\(at\)
   3066 [ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
   3067 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3068 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   3069 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3070 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3071 [ 0-9a-f]+:	6064 8fff 	swl	v1,-1\(a0\)
   3072 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   3073 [ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
   3074 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3075 [ 0-9a-f]+:	6061 8678 	swl	v1,1656\(at\)
   3076 [ 0-9a-f]+:	6060 9004 	swr	v1,4\(zero\)
   3077 [ 0-9a-f]+:	6060 9004 	swr	v1,4\(zero\)
   3078 [ 0-9a-f]+:	6060 97ff 	swr	v1,2047\(zero\)
   3079 [ 0-9a-f]+:	6060 9800 	swr	v1,-2048\(zero\)
   3080 [ 0-9a-f]+:	3020 7fff 	li	at,32767
   3081 [ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
   3082 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   3083 [ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
   3084 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   3085 [ 0-9a-f]+:	6061 9fff 	swr	v1,-1\(at\)
   3086 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   3087 [ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
   3088 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   3089 [ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
   3090 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   3091 [ 0-9a-f]+:	6061 9001 	swr	v1,1\(at\)
   3092 [ 0-9a-f]+:	3020 8001 	li	at,-32767
   3093 [ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
   3094 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   3095 [ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
   3096 [ 0-9a-f]+:	6060 9fff 	swr	v1,-1\(zero\)
   3097 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   3098 [ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
   3099 [ 0-9a-f]+:	6061 9678 	swr	v1,1656\(at\)
   3100 [ 0-9a-f]+:	6064 9000 	swr	v1,0\(a0\)
   3101 [ 0-9a-f]+:	6064 9000 	swr	v1,0\(a0\)
   3102 [ 0-9a-f]+:	6064 97ff 	swr	v1,2047\(a0\)
   3103 [ 0-9a-f]+:	6064 9800 	swr	v1,-2048\(a0\)
   3104 [ 0-9a-f]+:	3024 7fff 	addiu	at,a0,32767
   3105 [ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
   3106 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   3107 [ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
   3108 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   3109 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3110 [ 0-9a-f]+:	6061 9fff 	swr	v1,-1\(at\)
   3111 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   3112 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3113 [ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
   3114 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   3115 [ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
   3116 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   3117 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3118 [ 0-9a-f]+:	6061 9001 	swr	v1,1\(at\)
   3119 [ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
   3120 [ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
   3121 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   3122 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3123 [ 0-9a-f]+:	6061 9000 	swr	v1,0\(at\)
   3124 [ 0-9a-f]+:	6064 9fff 	swr	v1,-1\(a0\)
   3125 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   3126 [ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
   3127 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3128 [ 0-9a-f]+:	6061 9678 	swr	v1,1656\(at\)
   3129 [ 0-9a-f]+:	454c      	swm	s0,ra,48\(sp\)
   3130 [ 0-9a-f]+:	455c      	swm	s0-s1,ra,48\(sp\)
   3131 [ 0-9a-f]+:	455c      	swm	s0-s1,ra,48\(sp\)
   3132 [ 0-9a-f]+:	456c      	swm	s0-s2,ra,48\(sp\)
   3133 [ 0-9a-f]+:	456c      	swm	s0-s2,ra,48\(sp\)
   3134 [ 0-9a-f]+:	457c      	swm	s0-s3,ra,48\(sp\)
   3135 [ 0-9a-f]+:	457c      	swm	s0-s3,ra,48\(sp\)
   3136 [ 0-9a-f]+:	4540      	swm	s0,ra,0\(sp\)
   3137 [ 0-9a-f]+:	4540      	swm	s0,ra,0\(sp\)
   3138 [ 0-9a-f]+:	4541      	swm	s0,ra,4\(sp\)
   3139 [ 0-9a-f]+:	4542      	swm	s0,ra,8\(sp\)
   3140 [ 0-9a-f]+:	4543      	swm	s0,ra,12\(sp\)
   3141 [ 0-9a-f]+:	4544      	swm	s0,ra,16\(sp\)
   3142 [ 0-9a-f]+:	4545      	swm	s0,ra,20\(sp\)
   3143 [ 0-9a-f]+:	4546      	swm	s0,ra,24\(sp\)
   3144 [ 0-9a-f]+:	4547      	swm	s0,ra,28\(sp\)
   3145 [ 0-9a-f]+:	4548      	swm	s0,ra,32\(sp\)
   3146 [ 0-9a-f]+:	4549      	swm	s0,ra,36\(sp\)
   3147 [ 0-9a-f]+:	454a      	swm	s0,ra,40\(sp\)
   3148 [ 0-9a-f]+:	454b      	swm	s0,ra,44\(sp\)
   3149 [ 0-9a-f]+:	454c      	swm	s0,ra,48\(sp\)
   3150 [ 0-9a-f]+:	454d      	swm	s0,ra,52\(sp\)
   3151 [ 0-9a-f]+:	454e      	swm	s0,ra,56\(sp\)
   3152 [ 0-9a-f]+:	454f      	swm	s0,ra,60\(sp\)
   3153 [ 0-9a-f]+:	2020 d000 	swm	s0,0\(zero\)
   3154 [ 0-9a-f]+:	2020 d004 	swm	s0,4\(zero\)
   3155 [ 0-9a-f]+:	2020 d7ff 	swm	s0,2047\(zero\)
   3156 [ 0-9a-f]+:	2020 d800 	swm	s0,-2048\(zero\)
   3157 [ 0-9a-f]+:	3020 0800 	li	at,2048
   3158 [ 0-9a-f]+:	2021 d000 	swm	s0,0\(at\)
   3159 [ 0-9a-f]+:	3020 f7ff 	li	at,-2049
   3160 [ 0-9a-f]+:	2021 d000 	swm	s0,0\(at\)
   3161 [ 0-9a-f]+:	2025 d000 	swm	s0,0\(a1\)
   3162 [ 0-9a-f]+:	2025 d7ff 	swm	s0,2047\(a1\)
   3163 [ 0-9a-f]+:	2025 d800 	swm	s0,-2048\(a1\)
   3164 [ 0-9a-f]+:	3025 0800 	addiu	at,a1,2048
   3165 [ 0-9a-f]+:	2021 d000 	swm	s0,0\(at\)
   3166 [ 0-9a-f]+:	3025 f7ff 	addiu	at,a1,-2049
   3167 [ 0-9a-f]+:	2021 d000 	swm	s0,0\(at\)
   3168 [ 0-9a-f]+:	2045 d7ff 	swm	s0-s1,2047\(a1\)
   3169 [ 0-9a-f]+:	2065 d7ff 	swm	s0-s2,2047\(a1\)
   3170 [ 0-9a-f]+:	2085 d7ff 	swm	s0-s3,2047\(a1\)
   3171 [ 0-9a-f]+:	20a5 d7ff 	swm	s0-s4,2047\(a1\)
   3172 [ 0-9a-f]+:	20c5 d7ff 	swm	s0-s5,2047\(a1\)
   3173 [ 0-9a-f]+:	20e5 d7ff 	swm	s0-s6,2047\(a1\)
   3174 [ 0-9a-f]+:	2105 d7ff 	swm	s0-s7,2047\(a1\)
   3175 [ 0-9a-f]+:	2125 d7ff 	swm	s0-s7,s8,2047\(a1\)
   3176 [ 0-9a-f]+:	2205 d7ff 	swm	ra,2047\(a1\)
   3177 [ 0-9a-f]+:	2225 d000 	swm	s0,ra,0\(a1\)
   3178 [ 0-9a-f]+:	2245 d000 	swm	s0-s1,ra,0\(a1\)
   3179 [ 0-9a-f]+:	2265 d000 	swm	s0-s2,ra,0\(a1\)
   3180 [ 0-9a-f]+:	2285 d000 	swm	s0-s3,ra,0\(a1\)
   3181 [ 0-9a-f]+:	22a5 d000 	swm	s0-s4,ra,0\(a1\)
   3182 [ 0-9a-f]+:	22c5 d000 	swm	s0-s5,ra,0\(a1\)
   3183 [ 0-9a-f]+:	22e5 d000 	swm	s0-s6,ra,0\(a1\)
   3184 [ 0-9a-f]+:	2305 d000 	swm	s0-s7,ra,0\(a1\)
   3185 [ 0-9a-f]+:	2325 d000 	swm	s0-s7,s8,ra,0\(a1\)
   3186 [ 0-9a-f]+:	303d 8000 	addiu	at,sp,-32768
   3187 [ 0-9a-f]+:	2021 d000 	swm	s0,0\(at\)
   3188 [ 0-9a-f]+:	303d 7fff 	addiu	at,sp,32767
   3189 [ 0-9a-f]+:	2021 d000 	swm	s0,0\(at\)
   3190 [ 0-9a-f]+:	203d d000 	swm	s0,0\(sp\)
   3191 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   3192 [ 0-9a-f]+:	03a1 0950 	addu	at,at,sp
   3193 [ 0-9a-f]+:	2021 dfff 	swm	s0,-1\(at\)
   3194 [ 0-9a-f]+:	2040 9000 	swp	v0,0\(zero\)
   3195 [ 0-9a-f]+:	2040 9004 	swp	v0,4\(zero\)
   3196 [ 0-9a-f]+:	2040 97ff 	swp	v0,2047\(zero\)
   3197 [ 0-9a-f]+:	2040 9800 	swp	v0,-2048\(zero\)
   3198 [ 0-9a-f]+:	3020 0800 	li	at,2048
   3199 [ 0-9a-f]+:	2041 9000 	swp	v0,0\(at\)
   3200 [ 0-9a-f]+:	3020 f7ff 	li	at,-2049
   3201 [ 0-9a-f]+:	2041 9000 	swp	v0,0\(at\)
   3202 [ 0-9a-f]+:	205d 9000 	swp	v0,0\(sp\)
   3203 [ 0-9a-f]+:	205d 9000 	swp	v0,0\(sp\)
   3204 [ 0-9a-f]+:	2043 97ff 	swp	v0,2047\(v1\)
   3205 [ 0-9a-f]+:	2043 9800 	swp	v0,-2048\(v1\)
   3206 [ 0-9a-f]+:	3023 0800 	addiu	at,v1,2048
   3207 [ 0-9a-f]+:	2041 9000 	swp	v0,0\(at\)
   3208 [ 0-9a-f]+:	3023 f7ff 	addiu	at,v1,-2049
   3209 [ 0-9a-f]+:	2041 9000 	swp	v0,0\(at\)
   3210 [ 0-9a-f]+:	3023 7fff 	addiu	at,v1,32767
   3211 [ 0-9a-f]+:	2041 9000 	swp	v0,0\(at\)
   3212 [ 0-9a-f]+:	3023 8000 	addiu	at,v1,-32768
   3213 [ 0-9a-f]+:	2041 9000 	swp	v0,0\(at\)
   3214 [ 0-9a-f]+:	2043 9000 	swp	v0,0\(v1\)
   3215 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   3216 [ 0-9a-f]+:	0061 0950 	addu	at,at,v1
   3217 [ 0-9a-f]+:	2041 9fff 	swp	v0,-1\(at\)
   3218 [ 0-9a-f]+:	0000 6b7c 	sync
   3219 [ 0-9a-f]+:	0000 6b7c 	sync
   3220 [ 0-9a-f]+:	0001 6b7c 	sync	0x1
   3221 [ 0-9a-f]+:	0002 6b7c 	sync	0x2
   3222 [ 0-9a-f]+:	0003 6b7c 	sync	0x3
   3223 [ 0-9a-f]+:	0004 6b7c 	sync_wmb
   3224 [ 0-9a-f]+:	001e 6b7c 	sync	0x1e
   3225 [ 0-9a-f]+:	001f 6b7c 	sync	0x1f
   3226 [ 0-9a-f]+:	4200 0000 	synci	0\(zero\)
   3227 [ 0-9a-f]+:	4200 0000 	synci	0\(zero\)
   3228 [ 0-9a-f]+:	4200 0000 	synci	0\(zero\)
   3229 [ 0-9a-f]+:	4200 07ff 	synci	2047\(zero\)
   3230 [ 0-9a-f]+:	4200 f800 	synci	-2048\(zero\)
   3231 [ 0-9a-f]+:	4200 0800 	synci	2048\(zero\)
   3232 [ 0-9a-f]+:	4200 f7ff 	synci	-2049\(zero\)
   3233 [ 0-9a-f]+:	4200 7fff 	synci	32767\(zero\)
   3234 [ 0-9a-f]+:	4200 8000 	synci	-32768\(zero\)
   3235 [ 0-9a-f]+:	4202 0000 	synci	0\(v0\)
   3236 [ 0-9a-f]+:	4203 0000 	synci	0\(v1\)
   3237 [ 0-9a-f]+:	4203 07ff 	synci	2047\(v1\)
   3238 [ 0-9a-f]+:	4203 f800 	synci	-2048\(v1\)
   3239 [ 0-9a-f]+:	4203 0800 	synci	2048\(v1\)
   3240 [ 0-9a-f]+:	4203 f7ff 	synci	-2049\(v1\)
   3241 [ 0-9a-f]+:	4203 7fff 	synci	32767\(v1\)
   3242 [ 0-9a-f]+:	4203 8000 	synci	-32768\(v1\)
   3243 [ 0-9a-f]+:	0000 8b7c 	syscall
   3244 [ 0-9a-f]+:	0000 8b7c 	syscall
   3245 [ 0-9a-f]+:	0001 8b7c 	syscall	0x1
   3246 [ 0-9a-f]+:	0002 8b7c 	syscall	0x2
   3247 [ 0-9a-f]+:	00ff 8b7c 	syscall	0xff
   3248 [ 0-9a-f]+:	41c2 0000 	teqi	v0,0
   3249 [ 0-9a-f]+:	41c2 8000 	teqi	v0,-32768
   3250 [ 0-9a-f]+:	41c2 7fff 	teqi	v0,32767
   3251 [ 0-9a-f]+:	41c2 ffff 	teqi	v0,-1
   3252 [ 0-9a-f]+:	0062 003c 	teq	v0,v1
   3253 [ 0-9a-f]+:	0043 003c 	teq	v1,v0
   3254 [ 0-9a-f]+:	0062 003c 	teq	v0,v1
   3255 [ 0-9a-f]+:	0062 103c 	teq	v0,v1,0x1
   3256 [ 0-9a-f]+:	0062 f03c 	teq	v0,v1,0xf
   3257 [ 0-9a-f]+:	41c2 0000 	teqi	v0,0
   3258 [ 0-9a-f]+:	41c2 8000 	teqi	v0,-32768
   3259 [ 0-9a-f]+:	41c2 7fff 	teqi	v0,32767
   3260 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
   3261 [ 0-9a-f]+:	0022 003c 	teq	v0,at
   3262 [ 0-9a-f]+:	4122 0000 	tgei	v0,0
   3263 [ 0-9a-f]+:	4122 8000 	tgei	v0,-32768
   3264 [ 0-9a-f]+:	4122 7fff 	tgei	v0,32767
   3265 [ 0-9a-f]+:	4122 ffff 	tgei	v0,-1
   3266 [ 0-9a-f]+:	0062 023c 	tge	v0,v1
   3267 [ 0-9a-f]+:	0043 023c 	tge	v1,v0
   3268 [ 0-9a-f]+:	0062 023c 	tge	v0,v1
   3269 [ 0-9a-f]+:	0062 123c 	tge	v0,v1,0x1
   3270 [ 0-9a-f]+:	0062 f23c 	tge	v0,v1,0xf
   3271 [ 0-9a-f]+:	4122 0000 	tgei	v0,0
   3272 [ 0-9a-f]+:	4122 8000 	tgei	v0,-32768
   3273 [ 0-9a-f]+:	4122 7fff 	tgei	v0,32767
   3274 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
   3275 [ 0-9a-f]+:	0022 023c 	tge	v0,at
   3276 [ 0-9a-f]+:	4162 0000 	tgeiu	v0,0
   3277 [ 0-9a-f]+:	4162 8000 	tgeiu	v0,-32768
   3278 [ 0-9a-f]+:	4162 7fff 	tgeiu	v0,32767
   3279 [ 0-9a-f]+:	4162 ffff 	tgeiu	v0,-1
   3280 [ 0-9a-f]+:	0062 043c 	tgeu	v0,v1
   3281 [ 0-9a-f]+:	0043 043c 	tgeu	v1,v0
   3282 [ 0-9a-f]+:	0062 043c 	tgeu	v0,v1
   3283 [ 0-9a-f]+:	0062 143c 	tgeu	v0,v1,0x1
   3284 [ 0-9a-f]+:	0062 f43c 	tgeu	v0,v1,0xf
   3285 [ 0-9a-f]+:	4162 0000 	tgeiu	v0,0
   3286 [ 0-9a-f]+:	4162 8000 	tgeiu	v0,-32768
   3287 [ 0-9a-f]+:	4162 7fff 	tgeiu	v0,32767
   3288 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
   3289 [ 0-9a-f]+:	0022 043c 	tgeu	v0,at
   3290 [ 0-9a-f]+:	0000 037c 	tlbp
   3291 [ 0-9a-f]+:	0000 137c 	tlbr
   3292 [ 0-9a-f]+:	0000 237c 	tlbwi
   3293 [ 0-9a-f]+:	0000 337c 	tlbwr
   3294 [ 0-9a-f]+:	4102 0000 	tlti	v0,0
   3295 [ 0-9a-f]+:	4102 8000 	tlti	v0,-32768
   3296 [ 0-9a-f]+:	4102 7fff 	tlti	v0,32767
   3297 [ 0-9a-f]+:	4102 ffff 	tlti	v0,-1
   3298 [ 0-9a-f]+:	0062 083c 	tlt	v0,v1
   3299 [ 0-9a-f]+:	0043 083c 	tlt	v1,v0
   3300 [ 0-9a-f]+:	0062 083c 	tlt	v0,v1
   3301 [ 0-9a-f]+:	0062 183c 	tlt	v0,v1,0x1
   3302 [ 0-9a-f]+:	0062 f83c 	tlt	v0,v1,0xf
   3303 [ 0-9a-f]+:	4102 0000 	tlti	v0,0
   3304 [ 0-9a-f]+:	4102 8000 	tlti	v0,-32768
   3305 [ 0-9a-f]+:	4102 7fff 	tlti	v0,32767
   3306 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
   3307 [ 0-9a-f]+:	0022 083c 	tlt	v0,at
   3308 [ 0-9a-f]+:	4142 0000 	tltiu	v0,0
   3309 [ 0-9a-f]+:	4142 8000 	tltiu	v0,-32768
   3310 [ 0-9a-f]+:	4142 7fff 	tltiu	v0,32767
   3311 [ 0-9a-f]+:	4142 ffff 	tltiu	v0,-1
   3312 [ 0-9a-f]+:	0062 0a3c 	tltu	v0,v1
   3313 [ 0-9a-f]+:	0043 0a3c 	tltu	v1,v0
   3314 [ 0-9a-f]+:	0062 0a3c 	tltu	v0,v1
   3315 [ 0-9a-f]+:	0062 1a3c 	tltu	v0,v1,0x1
   3316 [ 0-9a-f]+:	0062 fa3c 	tltu	v0,v1,0xf
   3317 [ 0-9a-f]+:	4142 0000 	tltiu	v0,0
   3318 [ 0-9a-f]+:	4142 8000 	tltiu	v0,-32768
   3319 [ 0-9a-f]+:	4142 7fff 	tltiu	v0,32767
   3320 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
   3321 [ 0-9a-f]+:	0022 0a3c 	tltu	v0,at
   3322 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   3323 [ 0-9a-f]+:	0022 0a3c 	tltu	v0,at
   3324 [ 0-9a-f]+:	3020 ffff 	li	at,-1
   3325 [ 0-9a-f]+:	0022 0a3c 	tltu	v0,at
   3326 [ 0-9a-f]+:	4182 0000 	tnei	v0,0
   3327 [ 0-9a-f]+:	4182 8000 	tnei	v0,-32768
   3328 [ 0-9a-f]+:	4182 7fff 	tnei	v0,32767
   3329 [ 0-9a-f]+:	4182 ffff 	tnei	v0,-1
   3330 [ 0-9a-f]+:	0062 0c3c 	tne	v0,v1
   3331 [ 0-9a-f]+:	0043 0c3c 	tne	v1,v0
   3332 [ 0-9a-f]+:	0062 0c3c 	tne	v0,v1
   3333 [ 0-9a-f]+:	0062 1c3c 	tne	v0,v1,0x1
   3334 [ 0-9a-f]+:	0062 fc3c 	tne	v0,v1,0xf
   3335 [ 0-9a-f]+:	4182 0000 	tnei	v0,0
   3336 [ 0-9a-f]+:	4182 8000 	tnei	v0,-32768
   3337 [ 0-9a-f]+:	4182 7fff 	tnei	v0,32767
   3338 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
   3339 [ 0-9a-f]+:	0022 0c3c 	tne	v0,at
   3340 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   3341 [ 0-9a-f]+:	0022 0c3c 	tne	v0,at
   3342 [ 0-9a-f]+:	3020 ffff 	li	at,-1
   3343 [ 0-9a-f]+:	0022 0c3c 	tne	v0,at
   3344 [ 0-9a-f]+:	1c20 0004 	lb	at,4\(zero\)
   3345 [ 0-9a-f]+:	1460 0005 	lbu	v1,5\(zero\)
   3346 [ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
   3347 [ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
   3348 [ 0-9a-f]+:	1c20 0004 	lb	at,4\(zero\)
   3349 [ 0-9a-f]+:	1460 0005 	lbu	v1,5\(zero\)
   3350 [ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
   3351 [ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
   3352 [ 0-9a-f]+:	1c24 0000 	lb	at,0\(a0\)
   3353 [ 0-9a-f]+:	1464 0001 	lbu	v1,1\(a0\)
   3354 [ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
   3355 [ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
   3356 [ 0-9a-f]+:	1c24 0000 	lb	at,0\(a0\)
   3357 [ 0-9a-f]+:	1464 0001 	lbu	v1,1\(a0\)
   3358 [ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
   3359 [ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
   3360 [ 0-9a-f]+:	1c24 7ffb 	lb	at,32763\(a0\)
   3361 [ 0-9a-f]+:	1464 7ffc 	lbu	v1,32764\(a0\)
   3362 [ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
   3363 [ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
   3364 [ 0-9a-f]+:	1c24 8000 	lb	at,-32768\(a0\)
   3365 [ 0-9a-f]+:	1464 8001 	lbu	v1,-32767\(a0\)
   3366 [ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
   3367 [ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
   3368 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
   3369 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3370 [ 0-9a-f]+:	1c61 0000 	lb	v1,0\(at\)
   3371 [ 0-9a-f]+:	1421 0001 	lbu	at,1\(at\)
   3372 [ 0-9a-f]+:	0063 4000 	sll	v1,v1,0x8
   3373 [ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
   3374 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   3375 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3376 [ 0-9a-f]+:	1c61 0000 	lb	v1,0\(at\)
   3377 [ 0-9a-f]+:	1421 0001 	lbu	at,1\(at\)
   3378 [ 0-9a-f]+:	0063 4000 	sll	v1,v1,0x8
   3379 [ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
   3380 [ 0-9a-f]+:	1c24 8000 	lb	at,-32768\(a0\)
   3381 [ 0-9a-f]+:	1464 8001 	lbu	v1,-32767\(a0\)
   3382 [ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
   3383 [ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
   3384 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   3385 [ 0-9a-f]+:	5021 0001 	ori	at,at,0x1
   3386 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3387 [ 0-9a-f]+:	1c61 0000 	lb	v1,0\(at\)
   3388 [ 0-9a-f]+:	1421 0001 	lbu	at,1\(at\)
   3389 [ 0-9a-f]+:	0063 4000 	sll	v1,v1,0x8
   3390 [ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
   3391 [ 0-9a-f]+:	1c24 8001 	lb	at,-32767\(a0\)
   3392 [ 0-9a-f]+:	1464 8002 	lbu	v1,-32766\(a0\)
   3393 [ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
   3394 [ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
   3395 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   3396 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3397 [ 0-9a-f]+:	1c61 0000 	lb	v1,0\(at\)
   3398 [ 0-9a-f]+:	1421 0001 	lbu	at,1\(at\)
   3399 [ 0-9a-f]+:	0063 4000 	sll	v1,v1,0x8
   3400 [ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
   3401 [ 0-9a-f]+:	1c24 ffff 	lb	at,-1\(a0\)
   3402 [ 0-9a-f]+:	1464 0000 	lbu	v1,0\(a0\)
   3403 [ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
   3404 [ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
   3405 [ 0-9a-f]+:	1420 0004 	lbu	at,4\(zero\)
   3406 [ 0-9a-f]+:	1460 0005 	lbu	v1,5\(zero\)
   3407 [ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
   3408 [ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
   3409 [ 0-9a-f]+:	1420 0004 	lbu	at,4\(zero\)
   3410 [ 0-9a-f]+:	1460 0005 	lbu	v1,5\(zero\)
   3411 [ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
   3412 [ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
   3413 [ 0-9a-f]+:	1424 0000 	lbu	at,0\(a0\)
   3414 [ 0-9a-f]+:	1464 0001 	lbu	v1,1\(a0\)
   3415 [ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
   3416 [ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
   3417 [ 0-9a-f]+:	1424 0000 	lbu	at,0\(a0\)
   3418 [ 0-9a-f]+:	1464 0001 	lbu	v1,1\(a0\)
   3419 [ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
   3420 [ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
   3421 [ 0-9a-f]+:	1424 7ffb 	lbu	at,32763\(a0\)
   3422 [ 0-9a-f]+:	1464 7ffc 	lbu	v1,32764\(a0\)
   3423 [ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
   3424 [ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
   3425 [ 0-9a-f]+:	1424 8000 	lbu	at,-32768\(a0\)
   3426 [ 0-9a-f]+:	1464 8001 	lbu	v1,-32767\(a0\)
   3427 [ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
   3428 [ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
   3429 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
   3430 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3431 [ 0-9a-f]+:	1461 0000 	lbu	v1,0\(at\)
   3432 [ 0-9a-f]+:	1421 0001 	lbu	at,1\(at\)
   3433 [ 0-9a-f]+:	0063 4000 	sll	v1,v1,0x8
   3434 [ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
   3435 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   3436 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3437 [ 0-9a-f]+:	1461 0000 	lbu	v1,0\(at\)
   3438 [ 0-9a-f]+:	1421 0001 	lbu	at,1\(at\)
   3439 [ 0-9a-f]+:	0063 4000 	sll	v1,v1,0x8
   3440 [ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
   3441 [ 0-9a-f]+:	1424 8000 	lbu	at,-32768\(a0\)
   3442 [ 0-9a-f]+:	1464 8001 	lbu	v1,-32767\(a0\)
   3443 [ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
   3444 [ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
   3445 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   3446 [ 0-9a-f]+:	5021 0001 	ori	at,at,0x1
   3447 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3448 [ 0-9a-f]+:	1461 0000 	lbu	v1,0\(at\)
   3449 [ 0-9a-f]+:	1421 0001 	lbu	at,1\(at\)
   3450 [ 0-9a-f]+:	0063 4000 	sll	v1,v1,0x8
   3451 [ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
   3452 [ 0-9a-f]+:	1424 8001 	lbu	at,-32767\(a0\)
   3453 [ 0-9a-f]+:	1464 8002 	lbu	v1,-32766\(a0\)
   3454 [ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
   3455 [ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
   3456 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   3457 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3458 [ 0-9a-f]+:	1461 0000 	lbu	v1,0\(at\)
   3459 [ 0-9a-f]+:	1421 0001 	lbu	at,1\(at\)
   3460 [ 0-9a-f]+:	0063 4000 	sll	v1,v1,0x8
   3461 [ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
   3462 [ 0-9a-f]+:	1424 ffff 	lbu	at,-1\(a0\)
   3463 [ 0-9a-f]+:	1464 0000 	lbu	v1,0\(a0\)
   3464 [ 0-9a-f]+:	0021 4000 	sll	at,at,0x8
   3465 [ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
   3466 [ 0-9a-f]+:	6060 0000 	lwl	v1,0\(zero\)
   3467 [ 0-9a-f]+:	6060 1003 	lwr	v1,3\(zero\)
   3468 [ 0-9a-f]+:	6060 0000 	lwl	v1,0\(zero\)
   3469 [ 0-9a-f]+:	6060 1003 	lwr	v1,3\(zero\)
   3470 [ 0-9a-f]+:	6060 0004 	lwl	v1,4\(zero\)
   3471 [ 0-9a-f]+:	6060 1007 	lwr	v1,7\(zero\)
   3472 [ 0-9a-f]+:	6060 0004 	lwl	v1,4\(zero\)
   3473 [ 0-9a-f]+:	6060 1007 	lwr	v1,7\(zero\)
   3474 [ 0-9a-f]+:	3020 07ff 	li	at,2047
   3475 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   3476 [ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
   3477 [ 0-9a-f]+:	6060 0800 	lwl	v1,-2048\(zero\)
   3478 [ 0-9a-f]+:	6060 1803 	lwr	v1,-2045\(zero\)
   3479 [ 0-9a-f]+:	3020 0800 	li	at,2048
   3480 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   3481 [ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
   3482 [ 0-9a-f]+:	3020 f7ff 	li	at,-2049
   3483 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   3484 [ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
   3485 [ 0-9a-f]+:	3020 7ffb 	li	at,32763
   3486 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   3487 [ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
   3488 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   3489 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   3490 [ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
   3491 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
   3492 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   3493 [ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
   3494 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   3495 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   3496 [ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
   3497 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   3498 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   3499 [ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
   3500 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   3501 [ 0-9a-f]+:	5021 0001 	ori	at,at,0x1
   3502 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   3503 [ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
   3504 [ 0-9a-f]+:	3020 8001 	li	at,-32767
   3505 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   3506 [ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
   3507 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   3508 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   3509 [ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
   3510 [ 0-9a-f]+:	6060 0fff 	lwl	v1,-1\(zero\)
   3511 [ 0-9a-f]+:	6060 1002 	lwr	v1,2\(zero\)
   3512 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   3513 [ 0-9a-f]+:	5021 5678 	ori	at,at,0x5678
   3514 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   3515 [ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
   3516 [ 0-9a-f]+:	6064 0000 	lwl	v1,0\(a0\)
   3517 [ 0-9a-f]+:	6064 1003 	lwr	v1,3\(a0\)
   3518 [ 0-9a-f]+:	6064 0004 	lwl	v1,4\(a0\)
   3519 [ 0-9a-f]+:	6064 1007 	lwr	v1,7\(a0\)
   3520 [ 0-9a-f]+:	3024 07ff 	addiu	at,a0,2047
   3521 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   3522 [ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
   3523 [ 0-9a-f]+:	6064 0800 	lwl	v1,-2048\(a0\)
   3524 [ 0-9a-f]+:	6064 1803 	lwr	v1,-2045\(a0\)
   3525 [ 0-9a-f]+:	3024 0800 	addiu	at,a0,2048
   3526 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   3527 [ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
   3528 [ 0-9a-f]+:	3024 f7ff 	addiu	at,a0,-2049
   3529 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   3530 [ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
   3531 [ 0-9a-f]+:	3024 7ffb 	addiu	at,a0,32763
   3532 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   3533 [ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
   3534 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   3535 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   3536 [ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
   3537 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
   3538 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3539 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   3540 [ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
   3541 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   3542 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3543 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   3544 [ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
   3545 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   3546 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   3547 [ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
   3548 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   3549 [ 0-9a-f]+:	5021 0001 	ori	at,at,0x1
   3550 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3551 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   3552 [ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
   3553 [ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
   3554 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   3555 [ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
   3556 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   3557 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3558 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   3559 [ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
   3560 [ 0-9a-f]+:	6064 0fff 	lwl	v1,-1\(a0\)
   3561 [ 0-9a-f]+:	6064 1002 	lwr	v1,2\(a0\)
   3562 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   3563 [ 0-9a-f]+:	5021 5678 	ori	at,at,0x5678
   3564 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3565 [ 0-9a-f]+:	6061 0000 	lwl	v1,0\(at\)
   3566 [ 0-9a-f]+:	6061 1003 	lwr	v1,3\(at\)
   3567 [ 0-9a-f]+:	1860 0005 	sb	v1,5\(zero\)
   3568 [ 0-9a-f]+:	0023 4040 	srl	at,v1,0x8
   3569 [ 0-9a-f]+:	1820 0004 	sb	at,4\(zero\)
   3570 [ 0-9a-f]+:	1860 0005 	sb	v1,5\(zero\)
   3571 [ 0-9a-f]+:	0023 4040 	srl	at,v1,0x8
   3572 [ 0-9a-f]+:	1820 0004 	sb	at,4\(zero\)
   3573 [ 0-9a-f]+:	1864 0001 	sb	v1,1\(a0\)
   3574 [ 0-9a-f]+:	0023 4040 	srl	at,v1,0x8
   3575 [ 0-9a-f]+:	1824 0000 	sb	at,0\(a0\)
   3576 [ 0-9a-f]+:	1864 0001 	sb	v1,1\(a0\)
   3577 [ 0-9a-f]+:	0023 4040 	srl	at,v1,0x8
   3578 [ 0-9a-f]+:	1824 0000 	sb	at,0\(a0\)
   3579 [ 0-9a-f]+:	1864 7ffc 	sb	v1,32764\(a0\)
   3580 [ 0-9a-f]+:	0023 4040 	srl	at,v1,0x8
   3581 [ 0-9a-f]+:	1824 7ffb 	sb	at,32763\(a0\)
   3582 [ 0-9a-f]+:	1864 8001 	sb	v1,-32767\(a0\)
   3583 [ 0-9a-f]+:	0023 4040 	srl	at,v1,0x8
   3584 [ 0-9a-f]+:	1824 8000 	sb	at,-32768\(a0\)
   3585 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
   3586 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3587 [ 0-9a-f]+:	1861 0001 	sb	v1,1\(at\)
   3588 [ 0-9a-f]+:	0063 4040 	srl	v1,v1,0x8
   3589 [ 0-9a-f]+:	1861 0000 	sb	v1,0\(at\)
   3590 [ 0-9a-f]+:	1421 0001 	lbu	at,1\(at\)
   3591 [ 0-9a-f]+:	0063 4000 	sll	v1,v1,0x8
   3592 [ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
   3593 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   3594 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3595 [ 0-9a-f]+:	1861 0001 	sb	v1,1\(at\)
   3596 [ 0-9a-f]+:	0063 4040 	srl	v1,v1,0x8
   3597 [ 0-9a-f]+:	1861 0000 	sb	v1,0\(at\)
   3598 [ 0-9a-f]+:	1421 0001 	lbu	at,1\(at\)
   3599 [ 0-9a-f]+:	0063 4000 	sll	v1,v1,0x8
   3600 [ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
   3601 [ 0-9a-f]+:	1864 8001 	sb	v1,-32767\(a0\)
   3602 [ 0-9a-f]+:	0023 4040 	srl	at,v1,0x8
   3603 [ 0-9a-f]+:	1824 8000 	sb	at,-32768\(a0\)
   3604 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   3605 [ 0-9a-f]+:	5021 0001 	ori	at,at,0x1
   3606 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3607 [ 0-9a-f]+:	1861 0001 	sb	v1,1\(at\)
   3608 [ 0-9a-f]+:	0063 4040 	srl	v1,v1,0x8
   3609 [ 0-9a-f]+:	1861 0000 	sb	v1,0\(at\)
   3610 [ 0-9a-f]+:	1421 0001 	lbu	at,1\(at\)
   3611 [ 0-9a-f]+:	0063 4000 	sll	v1,v1,0x8
   3612 [ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
   3613 [ 0-9a-f]+:	1864 8002 	sb	v1,-32766\(a0\)
   3614 [ 0-9a-f]+:	0023 4040 	srl	at,v1,0x8
   3615 [ 0-9a-f]+:	1824 8001 	sb	at,-32767\(a0\)
   3616 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   3617 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3618 [ 0-9a-f]+:	1861 0001 	sb	v1,1\(at\)
   3619 [ 0-9a-f]+:	0063 4040 	srl	v1,v1,0x8
   3620 [ 0-9a-f]+:	1861 0000 	sb	v1,0\(at\)
   3621 [ 0-9a-f]+:	1421 0001 	lbu	at,1\(at\)
   3622 [ 0-9a-f]+:	0063 4000 	sll	v1,v1,0x8
   3623 [ 0-9a-f]+:	0023 1a90 	or	v1,v1,at
   3624 [ 0-9a-f]+:	1864 0000 	sb	v1,0\(a0\)
   3625 [ 0-9a-f]+:	0023 4040 	srl	at,v1,0x8
   3626 [ 0-9a-f]+:	1824 ffff 	sb	at,-1\(a0\)
   3627 [ 0-9a-f]+:	6060 8000 	swl	v1,0\(zero\)
   3628 [ 0-9a-f]+:	6060 9003 	swr	v1,3\(zero\)
   3629 [ 0-9a-f]+:	6060 8000 	swl	v1,0\(zero\)
   3630 [ 0-9a-f]+:	6060 9003 	swr	v1,3\(zero\)
   3631 [ 0-9a-f]+:	6060 8004 	swl	v1,4\(zero\)
   3632 [ 0-9a-f]+:	6060 9007 	swr	v1,7\(zero\)
   3633 [ 0-9a-f]+:	6060 8004 	swl	v1,4\(zero\)
   3634 [ 0-9a-f]+:	6060 9007 	swr	v1,7\(zero\)
   3635 [ 0-9a-f]+:	3020 07ff 	li	at,2047
   3636 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3637 [ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
   3638 [ 0-9a-f]+:	6060 8800 	swl	v1,-2048\(zero\)
   3639 [ 0-9a-f]+:	6060 9803 	swr	v1,-2045\(zero\)
   3640 [ 0-9a-f]+:	3020 0800 	li	at,2048
   3641 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3642 [ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
   3643 [ 0-9a-f]+:	3020 f7ff 	li	at,-2049
   3644 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3645 [ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
   3646 [ 0-9a-f]+:	3020 7ffb 	li	at,32763
   3647 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3648 [ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
   3649 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   3650 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3651 [ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
   3652 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
   3653 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3654 [ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
   3655 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   3656 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3657 [ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
   3658 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   3659 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3660 [ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
   3661 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   3662 [ 0-9a-f]+:	5021 0001 	ori	at,at,0x1
   3663 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3664 [ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
   3665 [ 0-9a-f]+:	3020 8001 	li	at,-32767
   3666 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3667 [ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
   3668 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   3669 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3670 [ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
   3671 [ 0-9a-f]+:	6060 8fff 	swl	v1,-1\(zero\)
   3672 [ 0-9a-f]+:	6060 9002 	swr	v1,2\(zero\)
   3673 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   3674 [ 0-9a-f]+:	5021 5678 	ori	at,at,0x5678
   3675 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3676 [ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
   3677 [ 0-9a-f]+:	6064 8000 	swl	v1,0\(a0\)
   3678 [ 0-9a-f]+:	6064 9003 	swr	v1,3\(a0\)
   3679 [ 0-9a-f]+:	6064 8004 	swl	v1,4\(a0\)
   3680 [ 0-9a-f]+:	6064 9007 	swr	v1,7\(a0\)
   3681 [ 0-9a-f]+:	3024 07ff 	addiu	at,a0,2047
   3682 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3683 [ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
   3684 [ 0-9a-f]+:	6064 8800 	swl	v1,-2048\(a0\)
   3685 [ 0-9a-f]+:	6064 9803 	swr	v1,-2045\(a0\)
   3686 [ 0-9a-f]+:	3024 0800 	addiu	at,a0,2048
   3687 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3688 [ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
   3689 [ 0-9a-f]+:	3024 f7ff 	addiu	at,a0,-2049
   3690 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3691 [ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
   3692 [ 0-9a-f]+:	3024 7ffb 	addiu	at,a0,32763
   3693 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3694 [ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
   3695 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   3696 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3697 [ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
   3698 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
   3699 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3700 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3701 [ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
   3702 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   3703 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3704 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3705 [ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
   3706 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   3707 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3708 [ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
   3709 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   3710 [ 0-9a-f]+:	5021 0001 	ori	at,at,0x1
   3711 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3712 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3713 [ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
   3714 [ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
   3715 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3716 [ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
   3717 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   3718 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3719 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3720 [ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
   3721 [ 0-9a-f]+:	6064 8fff 	swl	v1,-1\(a0\)
   3722 [ 0-9a-f]+:	6064 9002 	swr	v1,2\(a0\)
   3723 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   3724 [ 0-9a-f]+:	5021 5678 	ori	at,at,0x5678
   3725 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   3726 [ 0-9a-f]+:	6061 8000 	swl	v1,0\(at\)
   3727 [ 0-9a-f]+:	6061 9003 	swr	v1,3\(at\)
   3728 [ 0-9a-f]+:	0000 937c 	wait
   3729 [ 0-9a-f]+:	0000 937c 	wait
   3730 [ 0-9a-f]+:	0001 937c 	wait	0x1
   3731 [ 0-9a-f]+:	00ff 937c 	wait	0xff
   3732 [ 0-9a-f]+:	0043 f17c 	wrpgpr	v0,v1
   3733 [ 0-9a-f]+:	0044 f17c 	wrpgpr	v0,a0
   3734 [ 0-9a-f]+:	0042 f17c 	wrpgpr	v0,v0
   3735 [ 0-9a-f]+:	0042 f17c 	wrpgpr	v0,v0
   3736 [ 0-9a-f]+:	0043 7b3c 	wsbh	v0,v1
   3737 [ 0-9a-f]+:	0044 7b3c 	wsbh	v0,a0
   3738 [ 0-9a-f]+:	0042 7b3c 	wsbh	v0,v0
   3739 [ 0-9a-f]+:	0042 7b3c 	wsbh	v0,v0
   3740 [ 0-9a-f]+:	4452      	xor	v0,v0,v0
   3741 [ 0-9a-f]+:	4453      	xor	v0,v0,v1
   3742 [ 0-9a-f]+:	4454      	xor	v0,v0,a0
   3743 [ 0-9a-f]+:	4455      	xor	v0,v0,a1
   3744 [ 0-9a-f]+:	4456      	xor	v0,v0,a2
   3745 [ 0-9a-f]+:	4457      	xor	v0,v0,a3
   3746 [ 0-9a-f]+:	4450      	xor	v0,v0,s0
   3747 [ 0-9a-f]+:	4451      	xor	v0,v0,s1
   3748 [ 0-9a-f]+:	4459      	xor	v1,v1,s1
   3749 [ 0-9a-f]+:	4461      	xor	a0,a0,s1
   3750 [ 0-9a-f]+:	4469      	xor	a1,a1,s1
   3751 [ 0-9a-f]+:	4471      	xor	a2,a2,s1
   3752 [ 0-9a-f]+:	4479      	xor	a3,a3,s1
   3753 [ 0-9a-f]+:	4441      	xor	s0,s0,s1
   3754 [ 0-9a-f]+:	4449      	xor	s1,s1,s1
   3755 [ 0-9a-f]+:	4453      	xor	v0,v0,v1
   3756 [ 0-9a-f]+:	4453      	xor	v0,v0,v1
   3757 [ 0-9a-f]+:	4453      	xor	v0,v0,v1
   3758 [ 0-9a-f]+:	0083 1310 	xor	v0,v1,a0
   3759 [ 0-9a-f]+:	03fe eb10 	xor	sp,s8,ra
   3760 [ 0-9a-f]+:	0082 1310 	xor	v0,v0,a0
   3761 [ 0-9a-f]+:	0082 1310 	xor	v0,v0,a0
   3762 [ 0-9a-f]+:	7043 8000 	xori	v0,v1,0x8000
   3763 [ 0-9a-f]+:	7043 ffff 	xori	v0,v1,0xffff
   3764 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   3765 [ 0-9a-f]+:	0023 1310 	xor	v0,v1,at
   3766 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   3767 [ 0-9a-f]+:	0023 1310 	xor	v0,v1,at
   3768 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   3769 [ 0-9a-f]+:	5021 7fff 	ori	at,at,0x7fff
   3770 [ 0-9a-f]+:	0023 1310 	xor	v0,v1,at
   3771 [ 0-9a-f]+:	7064 0000 	xori	v1,a0,0x0
   3772 [ 0-9a-f]+:	7064 7fff 	xori	v1,a0,0x7fff
   3773 [ 0-9a-f]+:	7064 ffff 	xori	v1,a0,0xffff
   3774 [ 0-9a-f]+:	7063 ffff 	xori	v1,v1,0xffff
   3775 [ 0-9a-f]+:	7063 ffff 	xori	v1,v1,0xffff
   3776 [ 0-9a-f]+:	9409 fffe 	beqz	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3777 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3778 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3779 [ 0-9a-f]+:	9549 fffe 	beq	t1,t2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3780 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3781 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3782 [ 0-9a-f]+:	9409 fffe 	beqz	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3783 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3784 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3785 [ 0-9a-f]+:	3020 0001 	li	at,1
   3786 [ 0-9a-f]+:	9429 fffe 	beq	t1,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3787 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3788 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3789 [ 0-9a-f]+:	404a fffe 	bgez	t2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3790 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3791 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3792 [ 0-9a-f]+:	404a fffe 	bgez	t2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3793 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3794 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3795 [ 0-9a-f]+:	408a fffe 	blez	t2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3796 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3797 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3798 [ 0-9a-f]+:	016a 0b50 	slt	at,t2,t3
   3799 [ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3800 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3801 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3802 [ 0-9a-f]+:	404a fffe 	bgez	t2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3803 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3804 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3805 [ 0-9a-f]+:	40ca fffe 	bgtz	t2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3806 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3807 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3808 [ 0-9a-f]+:	902a 0002 	slti	at,t2,2
   3809 [ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3810 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3811 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3812 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3813 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3814 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3815 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3816 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3817 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3818 [ 0-9a-f]+:	9440 fffe 	beq	zero,v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3819 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3820 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3821 [ 0-9a-f]+:	0062 0b90 	sltu	at,v0,v1
   3822 [ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3823 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3824 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3825 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3826 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3827 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3828 [ 0-9a-f]+:	b402 fffe 	bnez	v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3829 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3830 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3831 [ 0-9a-f]+:	b022 0002 	sltiu	at,v0,2
   3832 [ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3833 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3834 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3835 [ 0-9a-f]+:	4042 fffe 	bgez	v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3836 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3837 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3838 [ 0-9a-f]+:	4062 fffe 	bgezal	v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3839 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3840 [ 0-9a-f]+:	00a4 1950 	addu	v1,a0,a1
   3841 [ 0-9a-f]+:	40c2 fffe 	bgtz	v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3842 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3843 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3844 [ 0-9a-f]+:	4002 fffe 	bltz	v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3845 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3846 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3847 [ 0-9a-f]+:	012a 0b50 	slt	at,t2,t1
   3848 [ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3849 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3850 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3851 [ 0-9a-f]+:	0c00      	nop
   3852 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3853 [ 0-9a-f]+:	4049 fffe 	bgez	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3854 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3855 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3856 [ 0-9a-f]+:	40c9 fffe 	bgtz	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3857 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3858 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3859 [ 0-9a-f]+:	9029 0002 	slti	at,t1,2
   3860 [ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3861 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3862 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3863 [ 0-9a-f]+:	41a1 8000 	lui	at,0x8000
   3864 [ 0-9a-f]+:	5021 0001 	ori	at,at,0x1
   3865 [ 0-9a-f]+:	0029 0b50 	slt	at,t1,at
   3866 [ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3867 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3868 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3869 [ 0-9a-f]+:	b409 fffe 	bnez	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3870 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3871 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3872 [ 0-9a-f]+:	0c00      	nop
   3873 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3874 [ 0-9a-f]+:	012a 0b90 	sltu	at,t2,t1
   3875 [ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3876 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3877 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3878 [ 0-9a-f]+:	0c00      	nop
   3879 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3880 [ 0-9a-f]+:	0c00      	nop
   3881 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3882 [ 0-9a-f]+:	0c00      	nop
   3883 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3884 [ 0-9a-f]+:	b409 fffe 	bnez	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3885 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3886 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3887 [ 0-9a-f]+:	b029 0002 	sltiu	at,t1,2
   3888 [ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3889 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3890 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3891 [ 0-9a-f]+:	40c9 fffe 	bgtz	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3892 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3893 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3894 [ 0-9a-f]+:	4089 fffe 	blez	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3895 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3896 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3897 [ 0-9a-f]+:	404a fffe 	bgez	t2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3898 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3899 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3900 [ 0-9a-f]+:	012a 0b50 	slt	at,t2,t1
   3901 [ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3902 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3903 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3904 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3905 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3906 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3907 [ 0-9a-f]+:	4009 fffe 	bltz	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3908 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3909 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3910 [ 0-9a-f]+:	4089 fffe 	blez	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3911 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3912 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3913 [ 0-9a-f]+:	9029 0002 	slti	at,t1,2
   3914 [ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3915 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3916 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3917 [ 0-9a-f]+:	9409 fffe 	beqz	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3918 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3919 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3920 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3921 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3922 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3923 [ 0-9a-f]+:	012a 0b90 	sltu	at,t2,t1
   3924 [ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3925 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3926 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3927 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3928 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3929 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3930 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3931 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3932 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3933 [ 0-9a-f]+:	9409 fffe 	beqz	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3934 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3935 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3936 [ 0-9a-f]+:	b029 0002 	sltiu	at,t1,2
   3937 [ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3938 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3939 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3940 [ 0-9a-f]+:	4089 fffe 	blez	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3941 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3942 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3943 [ 0-9a-f]+:	4009 fffe 	bltz	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3944 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3945 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3946 [ 0-9a-f]+:	40ca fffe 	bgtz	t2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3947 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3948 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3949 [ 0-9a-f]+:	0149 0b50 	slt	at,t1,t2
   3950 [ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3951 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3952 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3953 [ 0-9a-f]+:	4009 fffe 	bltz	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3954 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3955 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3956 [ 0-9a-f]+:	4089 fffe 	blez	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3957 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3958 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3959 [ 0-9a-f]+:	9029 0002 	slti	at,t1,2
   3960 [ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3961 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3962 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3963 [ 0-9a-f]+:	0c00      	nop
   3964 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3965 [ 0-9a-f]+:	b540 fffe 	bne	zero,t2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3966 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3967 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3968 [ 0-9a-f]+:	0149 0b90 	sltu	at,t1,t2
   3969 [ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3970 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3971 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3972 [ 0-9a-f]+:	0c00      	nop
   3973 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3974 [ 0-9a-f]+:	9409 fffe 	beqz	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3975 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3976 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3977 [ 0-9a-f]+:	b029 0002 	sltiu	at,t1,2
   3978 [ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3979 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3980 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3981 [ 0-9a-f]+:	4009 fffe 	bltz	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3982 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3983 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3984 [ 0-9a-f]+:	4029 fffe 	bltzal	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3985 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3986 [ 0-9a-f]+:	00a4 1950 	addu	v1,a0,a1
   3987 [ 0-9a-f]+:	b409 fffe 	bnez	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3988 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3989 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3990 [ 0-9a-f]+:	b549 fffe 	bne	t1,t2,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3991 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3992 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3993 [ 0-9a-f]+:	b409 fffe 	bnez	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3994 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3995 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   3996 [ 0-9a-f]+:	3020 0001 	li	at,1
   3997 [ 0-9a-f]+:	b429 fffe 	bne	t1,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   3998 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   3999 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4000 [ 0-9a-f]+:	b409 fffe 	bnez	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4001 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4002 [ 0-9a-f]+:	0c00      	nop
   4003 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4004 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4005 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4006 
   4007 [0-9a-f]+ <.*>:
   4008 [ 0-9a-f]+:	b549 fffe 	bne	t1,t2,[0-9a-f]+ <.*>
   4009 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4010 [ 0-9a-f]+:	0c00      	nop
   4011 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4012 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4013 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4014 
   4015 [0-9a-f]+ <.*>:
   4016 [ 0-9a-f]+:	b409 fffe 	bnez	t1,[0-9a-f]+ <.*>
   4017 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4018 [ 0-9a-f]+:	0c00      	nop
   4019 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4020 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4021 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4022 
   4023 [0-9a-f]+ <.*>:
   4024 [ 0-9a-f]+:	3020 0001 	li	at,1
   4025 [ 0-9a-f]+:	b429 fffe 	bne	t1,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4026 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4027 [ 0-9a-f]+:	0c00      	nop
   4028 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4029 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4030 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4031 
   4032 [0-9a-f]+ <.*>:
   4033 [ 0-9a-f]+:	400a fffe 	bltz	t2,[0-9a-f]+ <.*>
   4034 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4035 [ 0-9a-f]+:	0c00      	nop
   4036 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4037 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4038 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4039 
   4040 [0-9a-f]+ <.*>:
   4041 [ 0-9a-f]+:	400a fffe 	bltz	t2,[0-9a-f]+ <.*>
   4042 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4043 [ 0-9a-f]+:	0c00      	nop
   4044 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4045 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4046 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4047 
   4048 [0-9a-f]+ <.*>:
   4049 [ 0-9a-f]+:	40ca fffe 	bgtz	t2,[0-9a-f]+ <.*>
   4050 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4051 [ 0-9a-f]+:	0c00      	nop
   4052 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4053 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4054 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4055 
   4056 [0-9a-f]+ <.*>:
   4057 [ 0-9a-f]+:	016a 0b50 	slt	at,t2,t3
   4058 [ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4059 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4060 [ 0-9a-f]+:	0c00      	nop
   4061 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4062 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4063 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4064 
   4065 [0-9a-f]+ <.*>:
   4066 [ 0-9a-f]+:	400a fffe 	bltz	t2,[0-9a-f]+ <.*>
   4067 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4068 [ 0-9a-f]+:	0c00      	nop
   4069 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4070 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4071 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4072 
   4073 [0-9a-f]+ <.*>:
   4074 [ 0-9a-f]+:	408a fffe 	blez	t2,[0-9a-f]+ <.*>
   4075 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4076 [ 0-9a-f]+:	0c00      	nop
   4077 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4078 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4079 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4080 
   4081 [0-9a-f]+ <.*>:
   4082 [ 0-9a-f]+:	902a 0002 	slti	at,t2,2
   4083 [ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4084 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4085 [ 0-9a-f]+:	0c00      	nop
   4086 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4087 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4088 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4089 
   4090 [0-9a-f]+ <.*>:
   4091 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*>
   4092 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4093 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4094 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4095 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4096 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4097 [ 0-9a-f]+:	b440 fffe 	bne	zero,v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4098 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4099 [ 0-9a-f]+:	0c00      	nop
   4100 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4101 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4102 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4103 
   4104 [0-9a-f]+ <.*>:
   4105 [ 0-9a-f]+:	0062 0b90 	sltu	at,v0,v1
   4106 [ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4107 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4108 [ 0-9a-f]+:	0c00      	nop
   4109 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4110 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4111 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4112 
   4113 [0-9a-f]+ <.*>:
   4114 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*>
   4115 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4116 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4117 [ 0-9a-f]+:	9402 fffe 	beqz	v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4118 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4119 [ 0-9a-f]+:	0c00      	nop
   4120 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4121 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4122 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4123 
   4124 [0-9a-f]+ <.*>:
   4125 [ 0-9a-f]+:	b022 0002 	sltiu	at,v0,2
   4126 [ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4127 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4128 [ 0-9a-f]+:	0c00      	nop
   4129 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4130 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4131 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4132 
   4133 [0-9a-f]+ <.*>:
   4134 [ 0-9a-f]+:	4002 fffe 	bltz	v0,[0-9a-f]+ <.*>
   4135 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4136 [ 0-9a-f]+:	0c00      	nop
   4137 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4138 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4139 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4140 
   4141 [0-9a-f]+ <.*>:
   4142 [ 0-9a-f]+:	4002 fffe 	bltz	v0,[0-9a-f]+ <.*>
   4143 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4144 [ 0-9a-f]+:	0c00      	nop
   4145 [ 0-9a-f]+:	4060 fffe 	bal	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4146 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4147 [ 0-9a-f]+:	00a4 1950 	addu	v1,a0,a1
   4148 
   4149 [0-9a-f]+ <.*>:
   4150 [ 0-9a-f]+:	4082 fffe 	blez	v0,[0-9a-f]+ <.*>
   4151 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4152 [ 0-9a-f]+:	0c00      	nop
   4153 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4154 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4155 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4156 
   4157 [0-9a-f]+ <.*>:
   4158 [ 0-9a-f]+:	4042 fffe 	bgez	v0,[0-9a-f]+ <.*>
   4159 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4160 [ 0-9a-f]+:	0c00      	nop
   4161 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4162 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4163 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4164 
   4165 [0-9a-f]+ <.*>:
   4166 [ 0-9a-f]+:	012a 0b50 	slt	at,t2,t1
   4167 [ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4168 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4169 [ 0-9a-f]+:	0c00      	nop
   4170 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4171 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4172 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4173 
   4174 [0-9a-f]+ <.*>:
   4175 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*>
   4176 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4177 [ 0-9a-f]+:	0c00      	nop
   4178 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4179 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4180 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4181 
   4182 [0-9a-f]+ <.*>:
   4183 [ 0-9a-f]+:	4009 fffe 	bltz	t1,[0-9a-f]+ <.*>
   4184 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4185 [ 0-9a-f]+:	0c00      	nop
   4186 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4187 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4188 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4189 
   4190 [0-9a-f]+ <.*>:
   4191 [ 0-9a-f]+:	4089 fffe 	blez	t1,[0-9a-f]+ <.*>
   4192 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4193 [ 0-9a-f]+:	0c00      	nop
   4194 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4195 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4196 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4197 
   4198 [0-9a-f]+ <.*>:
   4199 [ 0-9a-f]+:	9029 0002 	slti	at,t1,2
   4200 [ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4201 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4202 [ 0-9a-f]+:	0c00      	nop
   4203 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4204 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4205 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4206 
   4207 [0-9a-f]+ <.*>:
   4208 [ 0-9a-f]+:	41a1 8000 	lui	at,0x8000
   4209 [ 0-9a-f]+:	5021 0001 	ori	at,at,0x1
   4210 [ 0-9a-f]+:	0029 0b50 	slt	at,t1,at
   4211 [ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4212 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4213 [ 0-9a-f]+:	0c00      	nop
   4214 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4215 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4216 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4217 
   4218 [0-9a-f]+ <.*>:
   4219 [ 0-9a-f]+:	9409 fffe 	beqz	t1,[0-9a-f]+ <.*>
   4220 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4221 [ 0-9a-f]+:	0c00      	nop
   4222 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4223 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4224 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4225 
   4226 [0-9a-f]+ <.*>:
   4227 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*>
   4228 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4229 [ 0-9a-f]+:	0c00      	nop
   4230 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4231 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4232 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4233 
   4234 [0-9a-f]+ <.*>:
   4235 [ 0-9a-f]+:	012a 0b90 	sltu	at,t2,t1
   4236 [ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4237 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4238 [ 0-9a-f]+:	0c00      	nop
   4239 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4240 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4241 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4242 
   4243 [0-9a-f]+ <.*>:
   4244 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*>
   4245 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4246 [ 0-9a-f]+:	0c00      	nop
   4247 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4248 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4249 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4250 
   4251 [0-9a-f]+ <.*>:
   4252 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*>
   4253 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4254 [ 0-9a-f]+:	0c00      	nop
   4255 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4256 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4257 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4258 
   4259 [0-9a-f]+ <.*>:
   4260 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*>
   4261 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4262 [ 0-9a-f]+:	0c00      	nop
   4263 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4264 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4265 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4266 
   4267 [0-9a-f]+ <.*>:
   4268 [ 0-9a-f]+:	9409 fffe 	beqz	t1,[0-9a-f]+ <.*>
   4269 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4270 [ 0-9a-f]+:	0c00      	nop
   4271 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4272 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4273 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4274 
   4275 [0-9a-f]+ <.*>:
   4276 [ 0-9a-f]+:	b029 0002 	sltiu	at,t1,2
   4277 [ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4278 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4279 [ 0-9a-f]+:	0c00      	nop
   4280 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4281 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4282 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4283 
   4284 [0-9a-f]+ <.*>:
   4285 [ 0-9a-f]+:	4089 fffe 	blez	t1,[0-9a-f]+ <.*>
   4286 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4287 [ 0-9a-f]+:	0c00      	nop
   4288 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4289 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4290 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4291 
   4292 [0-9a-f]+ <.*>:
   4293 [ 0-9a-f]+:	40c9 fffe 	bgtz	t1,[0-9a-f]+ <.*>
   4294 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4295 [ 0-9a-f]+:	0c00      	nop
   4296 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4297 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4298 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4299 
   4300 [0-9a-f]+ <.*>:
   4301 [ 0-9a-f]+:	400a fffe 	bltz	t2,[0-9a-f]+ <.*>
   4302 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4303 [ 0-9a-f]+:	0c00      	nop
   4304 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4305 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4306 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4307 
   4308 [0-9a-f]+ <.*>:
   4309 [ 0-9a-f]+:	012a 0b50 	slt	at,t2,t1
   4310 [ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4311 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4312 [ 0-9a-f]+:	0c00      	nop
   4313 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4314 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4315 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4316 
   4317 [0-9a-f]+ <.*>:
   4318 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*>
   4319 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4320 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4321 [ 0-9a-f]+:	4049 fffe 	bgez	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4322 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4323 [ 0-9a-f]+:	0c00      	nop
   4324 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4325 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4326 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4327 
   4328 [0-9a-f]+ <.*>:
   4329 [ 0-9a-f]+:	40c9 fffe 	bgtz	t1,[0-9a-f]+ <.*>
   4330 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4331 [ 0-9a-f]+:	0c00      	nop
   4332 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4333 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4334 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4335 
   4336 [0-9a-f]+ <.*>:
   4337 [ 0-9a-f]+:	9029 0002 	slti	at,t1,2
   4338 [ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4339 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4340 [ 0-9a-f]+:	0c00      	nop
   4341 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4342 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4343 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4344 
   4345 [0-9a-f]+ <.*>:
   4346 [ 0-9a-f]+:	b409 fffe 	bnez	t1,[0-9a-f]+ <.*>
   4347 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4348 [ 0-9a-f]+:	0c00      	nop
   4349 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4350 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4351 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4352 
   4353 [0-9a-f]+ <.*>:
   4354 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*>
   4355 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4356 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4357 [ 0-9a-f]+:	012a 0b90 	sltu	at,t2,t1
   4358 [ 0-9a-f]+:	b401 fffe 	bnez	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4359 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4360 [ 0-9a-f]+:	0c00      	nop
   4361 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4362 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4363 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4364 
   4365 [0-9a-f]+ <.*>:
   4366 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*>
   4367 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4368 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4369 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4370 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4371 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4372 [ 0-9a-f]+:	b409 fffe 	bnez	t1,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4373 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4374 [ 0-9a-f]+:	0c00      	nop
   4375 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4376 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4377 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4378 
   4379 [0-9a-f]+ <.*>:
   4380 [ 0-9a-f]+:	b029 0002 	sltiu	at,t1,2
   4381 [ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4382 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4383 [ 0-9a-f]+:	0c00      	nop
   4384 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4385 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4386 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4387 
   4388 [0-9a-f]+ <.*>:
   4389 [ 0-9a-f]+:	40c9 fffe 	bgtz	t1,[0-9a-f]+ <.*>
   4390 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4391 [ 0-9a-f]+:	0c00      	nop
   4392 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4393 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4394 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4395 
   4396 [0-9a-f]+ <.*>:
   4397 [ 0-9a-f]+:	4049 fffe 	bgez	t1,[0-9a-f]+ <.*>
   4398 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4399 [ 0-9a-f]+:	0c00      	nop
   4400 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4401 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4402 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4403 
   4404 [0-9a-f]+ <.*>:
   4405 [ 0-9a-f]+:	408a fffe 	blez	t2,[0-9a-f]+ <.*>
   4406 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4407 [ 0-9a-f]+:	0c00      	nop
   4408 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4409 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4410 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4411 
   4412 [0-9a-f]+ <.*>:
   4413 [ 0-9a-f]+:	0149 0b50 	slt	at,t1,t2
   4414 [ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4415 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4416 [ 0-9a-f]+:	0c00      	nop
   4417 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4418 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4419 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4420 
   4421 [0-9a-f]+ <.*>:
   4422 [ 0-9a-f]+:	4049 fffe 	bgez	t1,[0-9a-f]+ <.*>
   4423 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4424 [ 0-9a-f]+:	0c00      	nop
   4425 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4426 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4427 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4428 
   4429 [0-9a-f]+ <.*>:
   4430 [ 0-9a-f]+:	40c9 fffe 	bgtz	t1,[0-9a-f]+ <.*>
   4431 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4432 [ 0-9a-f]+:	0c00      	nop
   4433 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4434 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4435 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4436 
   4437 [0-9a-f]+ <.*>:
   4438 [ 0-9a-f]+:	9029 0002 	slti	at,t1,2
   4439 [ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4440 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4441 [ 0-9a-f]+:	0c00      	nop
   4442 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4443 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4444 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4445 
   4446 [0-9a-f]+ <.*>:
   4447 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*>
   4448 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4449 [ 0-9a-f]+:	0c00      	nop
   4450 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4451 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4452 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4453 
   4454 [0-9a-f]+ <.*>:
   4455 [ 0-9a-f]+:	9540 fffe 	beq	zero,t2,[0-9a-f]+ <.*>
   4456 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4457 [ 0-9a-f]+:	0c00      	nop
   4458 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4459 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4460 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4461 
   4462 [0-9a-f]+ <.*>:
   4463 [ 0-9a-f]+:	0149 0b90 	sltu	at,t1,t2
   4464 [ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4465 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4466 [ 0-9a-f]+:	0c00      	nop
   4467 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4468 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4469 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4470 
   4471 [0-9a-f]+ <.*>:
   4472 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*>
   4473 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4474 [ 0-9a-f]+:	0c00      	nop
   4475 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4476 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4477 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4478 
   4479 [0-9a-f]+ <.*>:
   4480 [ 0-9a-f]+:	b409 fffe 	bnez	t1,[0-9a-f]+ <.*>
   4481 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4482 [ 0-9a-f]+:	0c00      	nop
   4483 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4484 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4485 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4486 
   4487 [0-9a-f]+ <.*>:
   4488 [ 0-9a-f]+:	b029 0002 	sltiu	at,t1,2
   4489 [ 0-9a-f]+:	9401 fffe 	beqz	at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4490 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4491 [ 0-9a-f]+:	0c00      	nop
   4492 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4493 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4494 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4495 
   4496 [0-9a-f]+ <.*>:
   4497 [ 0-9a-f]+:	4049 fffe 	bgez	t1,[0-9a-f]+ <.*>
   4498 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4499 [ 0-9a-f]+:	0c00      	nop
   4500 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4501 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4502 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4503 
   4504 [0-9a-f]+ <.*>:
   4505 [ 0-9a-f]+:	4049 fffe 	bgez	t1,[0-9a-f]+ <.*>
   4506 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4507 [ 0-9a-f]+:	0c00      	nop
   4508 [ 0-9a-f]+:	4060 fffe 	bal	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4509 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4510 [ 0-9a-f]+:	00a4 1950 	addu	v1,a0,a1
   4511 
   4512 [0-9a-f]+ <.*>:
   4513 [ 0-9a-f]+:	9409 fffe 	beqz	t1,[0-9a-f]+ <.*>
   4514 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4515 [ 0-9a-f]+:	0c00      	nop
   4516 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4517 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4518 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4519 
   4520 [0-9a-f]+ <.*>:
   4521 [ 0-9a-f]+:	9549 fffe 	beq	t1,t2,[0-9a-f]+ <.*>
   4522 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4523 [ 0-9a-f]+:	0c00      	nop
   4524 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4525 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4526 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4527 
   4528 [0-9a-f]+ <.*>:
   4529 [ 0-9a-f]+:	9409 fffe 	beqz	t1,[0-9a-f]+ <.*>
   4530 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4531 [ 0-9a-f]+:	0c00      	nop
   4532 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4533 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4534 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4535 
   4536 [0-9a-f]+ <.*>:
   4537 [ 0-9a-f]+:	3020 0001 	li	at,1
   4538 [ 0-9a-f]+:	9429 fffe 	beq	t1,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4539 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   4540 [ 0-9a-f]+:	0c00      	nop
   4541 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   4542 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   4543 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   4544 
   4545 [0-9a-f]+ <.*>:
   4546 [ 0-9a-f]+:	6d01      	addiu	v0,sp,0
   4547 [ 0-9a-f]+:	6d03      	addiu	v0,sp,4
   4548 [ 0-9a-f]+:	6d05      	addiu	v0,sp,8
   4549 [ 0-9a-f]+:	6d07      	addiu	v0,sp,12
   4550 [ 0-9a-f]+:	6d09      	addiu	v0,sp,16
   4551 [ 0-9a-f]+:	6d7f      	addiu	v0,sp,252
   4552 [ 0-9a-f]+:	6dff      	addiu	v1,sp,252
   4553 [ 0-9a-f]+:	6e7f      	addiu	a0,sp,252
   4554 [ 0-9a-f]+:	6eff      	addiu	a1,sp,252
   4555 [ 0-9a-f]+:	6f7f      	addiu	a2,sp,252
   4556 [ 0-9a-f]+:	6fff      	addiu	a3,sp,252
   4557 [ 0-9a-f]+:	6c7f      	addiu	s0,sp,252
   4558 [ 0-9a-f]+:	6cff      	addiu	s1,sp,252
   4559 [ 0-9a-f]+:	6d2e      	addiu	v0,v0,-1
   4560 [ 0-9a-f]+:	6d3e      	addiu	v0,v1,-1
   4561 [ 0-9a-f]+:	6d4e      	addiu	v0,a0,-1
   4562 [ 0-9a-f]+:	6d5e      	addiu	v0,a1,-1
   4563 [ 0-9a-f]+:	6d6e      	addiu	v0,a2,-1
   4564 [ 0-9a-f]+:	6d7e      	addiu	v0,a3,-1
   4565 [ 0-9a-f]+:	6d0e      	addiu	v0,s0,-1
   4566 [ 0-9a-f]+:	6d1e      	addiu	v0,s1,-1
   4567 [ 0-9a-f]+:	6d9e      	addiu	v1,s1,-1
   4568 [ 0-9a-f]+:	6e1e      	addiu	a0,s1,-1
   4569 [ 0-9a-f]+:	6e9e      	addiu	a1,s1,-1
   4570 [ 0-9a-f]+:	6f1e      	addiu	a2,s1,-1
   4571 [ 0-9a-f]+:	6f9e      	addiu	a3,s1,-1
   4572 [ 0-9a-f]+:	6c1e      	addiu	s0,s1,-1
   4573 [ 0-9a-f]+:	6c9e      	addiu	s1,s1,-1
   4574 [ 0-9a-f]+:	6c90      	addiu	s1,s1,1
   4575 [ 0-9a-f]+:	6c92      	addiu	s1,s1,4
   4576 [ 0-9a-f]+:	6c94      	addiu	s1,s1,8
   4577 [ 0-9a-f]+:	6c96      	addiu	s1,s1,12
   4578 [ 0-9a-f]+:	6c98      	addiu	s1,s1,16
   4579 [ 0-9a-f]+:	6c9a      	addiu	s1,s1,20
   4580 [ 0-9a-f]+:	6c9c      	addiu	s1,s1,24
   4581 [ 0-9a-f]+:	4c05      	addiu	sp,sp,8
   4582 [ 0-9a-f]+:	4c07      	addiu	sp,sp,12
   4583 [ 0-9a-f]+:	4dfd      	addiu	sp,sp,1016
   4584 [ 0-9a-f]+:	4dff      	addiu	sp,sp,1020
   4585 [ 0-9a-f]+:	4c01      	addiu	sp,sp,1024
   4586 [ 0-9a-f]+:	4c03      	addiu	sp,sp,1028
   4587 [ 0-9a-f]+:	4ffb      	addiu	sp,sp,-12
   4588 [ 0-9a-f]+:	4ff9      	addiu	sp,sp,-16
   4589 [ 0-9a-f]+:	4e03      	addiu	sp,sp,-1020
   4590 [ 0-9a-f]+:	4e01      	addiu	sp,sp,-1024
   4591 [ 0-9a-f]+:	4fff      	addiu	sp,sp,-1028
   4592 [ 0-9a-f]+:	4ffd      	addiu	sp,sp,-1032
   4593 [ 0-9a-f]+:	4c00      	addiu	zero,zero,0
   4594 [ 0-9a-f]+:	4c40      	addiu	v0,v0,0
   4595 [ 0-9a-f]+:	4c60      	addiu	v1,v1,0
   4596 [ 0-9a-f]+:	4fc0      	addiu	s8,s8,0
   4597 [ 0-9a-f]+:	4fe0      	addiu	ra,ra,0
   4598 [ 0-9a-f]+:	4fe2      	addiu	ra,ra,1
   4599 [ 0-9a-f]+:	4fe4      	addiu	ra,ra,2
   4600 [ 0-9a-f]+:	4fe6      	addiu	ra,ra,3
   4601 [ 0-9a-f]+:	4fee      	addiu	ra,ra,7
   4602 [ 0-9a-f]+:	4ff4      	addiu	ra,ra,-6
   4603 [ 0-9a-f]+:	4ff2      	addiu	ra,ra,-7
   4604 [ 0-9a-f]+:	4ff0      	addiu	ra,ra,-8
   4605 [ 0-9a-f]+:	f860 0004 	sw	v1,4\(zero\)
   4606 [ 0-9a-f]+:	f880 0008 	sw	a0,8\(zero\)
   4607 [ 0-9a-f]+:	f860 0004 	sw	v1,4\(zero\)
   4608 [ 0-9a-f]+:	f880 0008 	sw	a0,8\(zero\)
   4609 [ 0-9a-f]+:	3020 7fff 	li	at,32767
   4610 [ 0-9a-f]+:	f861 0000 	sw	v1,0\(at\)
   4611 [ 0-9a-f]+:	f881 0004 	sw	a0,4\(at\)
   4612 [ 0-9a-f]+:	f860 8000 	sw	v1,-32768\(zero\)
   4613 [ 0-9a-f]+:	f880 8004 	sw	a0,-32764\(zero\)
   4614 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   4615 [ 0-9a-f]+:	f861 ffff 	sw	v1,-1\(at\)
   4616 [ 0-9a-f]+:	f881 0003 	sw	a0,3\(at\)
   4617 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   4618 [ 0-9a-f]+:	f861 0000 	sw	v1,0\(at\)
   4619 [ 0-9a-f]+:	f881 0004 	sw	a0,4\(at\)
   4620 [ 0-9a-f]+:	f860 8000 	sw	v1,-32768\(zero\)
   4621 [ 0-9a-f]+:	f880 8004 	sw	a0,-32764\(zero\)
   4622 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   4623 [ 0-9a-f]+:	f861 0001 	sw	v1,1\(at\)
   4624 [ 0-9a-f]+:	f881 0005 	sw	a0,5\(at\)
   4625 [ 0-9a-f]+:	f860 8001 	sw	v1,-32767\(zero\)
   4626 [ 0-9a-f]+:	f880 8005 	sw	a0,-32763\(zero\)
   4627 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   4628 [ 0-9a-f]+:	f861 0000 	sw	v1,0\(at\)
   4629 [ 0-9a-f]+:	f881 0004 	sw	a0,4\(at\)
   4630 [ 0-9a-f]+:	f860 ffff 	sw	v1,-1\(zero\)
   4631 [ 0-9a-f]+:	f880 0003 	sw	a0,3\(zero\)
   4632 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   4633 [ 0-9a-f]+:	f861 5678 	sw	v1,22136\(at\)
   4634 [ 0-9a-f]+:	f881 567c 	sw	a0,22140\(at\)
   4635 [ 0-9a-f]+:	f864 0000 	sw	v1,0\(a0\)
   4636 [ 0-9a-f]+:	f884 0004 	sw	a0,4\(a0\)
   4637 [ 0-9a-f]+:	f864 0000 	sw	v1,0\(a0\)
   4638 [ 0-9a-f]+:	f884 0004 	sw	a0,4\(a0\)
   4639 [ 0-9a-f]+:	3024 7fff 	addiu	at,a0,32767
   4640 [ 0-9a-f]+:	f861 0000 	sw	v1,0\(at\)
   4641 [ 0-9a-f]+:	f881 0004 	sw	a0,4\(at\)
   4642 [ 0-9a-f]+:	f864 8000 	sw	v1,-32768\(a0\)
   4643 [ 0-9a-f]+:	f884 8004 	sw	a0,-32764\(a0\)
   4644 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   4645 [ 0-9a-f]+:	0024 0950 	addu	at,a0,at
   4646 [ 0-9a-f]+:	f861 ffff 	sw	v1,-1\(at\)
   4647 [ 0-9a-f]+:	f881 0003 	sw	a0,3\(at\)
   4648 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   4649 [ 0-9a-f]+:	0024 0950 	addu	at,a0,at
   4650 [ 0-9a-f]+:	f861 0000 	sw	v1,0\(at\)
   4651 [ 0-9a-f]+:	f881 0004 	sw	a0,4\(at\)
   4652 [ 0-9a-f]+:	f864 8000 	sw	v1,-32768\(a0\)
   4653 [ 0-9a-f]+:	f884 8004 	sw	a0,-32764\(a0\)
   4654 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   4655 [ 0-9a-f]+:	0024 0950 	addu	at,a0,at
   4656 [ 0-9a-f]+:	f861 0001 	sw	v1,1\(at\)
   4657 [ 0-9a-f]+:	f881 0005 	sw	a0,5\(at\)
   4658 [ 0-9a-f]+:	f864 8001 	sw	v1,-32767\(a0\)
   4659 [ 0-9a-f]+:	f884 8005 	sw	a0,-32763\(a0\)
   4660 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   4661 [ 0-9a-f]+:	0024 0950 	addu	at,a0,at
   4662 [ 0-9a-f]+:	f861 0000 	sw	v1,0\(at\)
   4663 [ 0-9a-f]+:	f881 0004 	sw	a0,4\(at\)
   4664 [ 0-9a-f]+:	f864 ffff 	sw	v1,-1\(a0\)
   4665 [ 0-9a-f]+:	f884 0003 	sw	a0,3\(a0\)
   4666 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   4667 [ 0-9a-f]+:	0024 0950 	addu	at,a0,at
   4668 [ 0-9a-f]+:	f861 5678 	sw	v1,22136\(at\)
   4669 [ 0-9a-f]+:	f881 567c 	sw	a0,22140\(at\)
   4670 [ 0-9a-f]+:	fc60 0004 	lw	v1,4\(zero\)
   4671 [ 0-9a-f]+:	fc80 0008 	lw	a0,8\(zero\)
   4672 [ 0-9a-f]+:	fc60 0004 	lw	v1,4\(zero\)
   4673 [ 0-9a-f]+:	fc80 0008 	lw	a0,8\(zero\)
   4674 [ 0-9a-f]+:	3020 7fff 	li	at,32767
   4675 [ 0-9a-f]+:	fc61 0000 	lw	v1,0\(at\)
   4676 [ 0-9a-f]+:	fc81 0004 	lw	a0,4\(at\)
   4677 [ 0-9a-f]+:	fc60 8000 	lw	v1,-32768\(zero\)
   4678 [ 0-9a-f]+:	fc80 8004 	lw	a0,-32764\(zero\)
   4679 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   4680 [ 0-9a-f]+:	fc61 ffff 	lw	v1,-1\(at\)
   4681 [ 0-9a-f]+:	fc81 0003 	lw	a0,3\(at\)
   4682 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   4683 [ 0-9a-f]+:	fc61 0000 	lw	v1,0\(at\)
   4684 [ 0-9a-f]+:	fc81 0004 	lw	a0,4\(at\)
   4685 [ 0-9a-f]+:	fc60 8000 	lw	v1,-32768\(zero\)
   4686 [ 0-9a-f]+:	fc80 8004 	lw	a0,-32764\(zero\)
   4687 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   4688 [ 0-9a-f]+:	fc61 0001 	lw	v1,1\(at\)
   4689 [ 0-9a-f]+:	fc81 0005 	lw	a0,5\(at\)
   4690 [ 0-9a-f]+:	fc60 8001 	lw	v1,-32767\(zero\)
   4691 [ 0-9a-f]+:	fc80 8005 	lw	a0,-32763\(zero\)
   4692 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   4693 [ 0-9a-f]+:	fc61 0000 	lw	v1,0\(at\)
   4694 [ 0-9a-f]+:	fc81 0004 	lw	a0,4\(at\)
   4695 [ 0-9a-f]+:	fc60 ffff 	lw	v1,-1\(zero\)
   4696 [ 0-9a-f]+:	fc80 0003 	lw	a0,3\(zero\)
   4697 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   4698 [ 0-9a-f]+:	fc61 5678 	lw	v1,22136\(at\)
   4699 [ 0-9a-f]+:	fc81 567c 	lw	a0,22140\(at\)
   4700 [ 0-9a-f]+:	fc64 0000 	lw	v1,0\(a0\)
   4701 [ 0-9a-f]+:	fc84 0004 	lw	a0,4\(a0\)
   4702 [ 0-9a-f]+:	fc64 0000 	lw	v1,0\(a0\)
   4703 [ 0-9a-f]+:	fc84 0004 	lw	a0,4\(a0\)
   4704 [ 0-9a-f]+:	3024 7fff 	addiu	at,a0,32767
   4705 [ 0-9a-f]+:	fc61 0000 	lw	v1,0\(at\)
   4706 [ 0-9a-f]+:	fc81 0004 	lw	a0,4\(at\)
   4707 [ 0-9a-f]+:	fc64 8000 	lw	v1,-32768\(a0\)
   4708 [ 0-9a-f]+:	fc84 8004 	lw	a0,-32764\(a0\)
   4709 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   4710 [ 0-9a-f]+:	0024 0950 	addu	at,a0,at
   4711 [ 0-9a-f]+:	fc61 ffff 	lw	v1,-1\(at\)
   4712 [ 0-9a-f]+:	fc81 0003 	lw	a0,3\(at\)
   4713 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   4714 [ 0-9a-f]+:	0024 0950 	addu	at,a0,at
   4715 [ 0-9a-f]+:	fc61 0000 	lw	v1,0\(at\)
   4716 [ 0-9a-f]+:	fc81 0004 	lw	a0,4\(at\)
   4717 [ 0-9a-f]+:	fc64 8000 	lw	v1,-32768\(a0\)
   4718 [ 0-9a-f]+:	fc84 8004 	lw	a0,-32764\(a0\)
   4719 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   4720 [ 0-9a-f]+:	0024 0950 	addu	at,a0,at
   4721 [ 0-9a-f]+:	fc61 0001 	lw	v1,1\(at\)
   4722 [ 0-9a-f]+:	fc81 0005 	lw	a0,5\(at\)
   4723 [ 0-9a-f]+:	fc64 8001 	lw	v1,-32767\(a0\)
   4724 [ 0-9a-f]+:	fc84 8005 	lw	a0,-32763\(a0\)
   4725 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   4726 [ 0-9a-f]+:	0024 0950 	addu	at,a0,at
   4727 [ 0-9a-f]+:	fc61 0000 	lw	v1,0\(at\)
   4728 [ 0-9a-f]+:	fc81 0004 	lw	a0,4\(at\)
   4729 [ 0-9a-f]+:	fc64 ffff 	lw	v1,-1\(a0\)
   4730 [ 0-9a-f]+:	fc84 0003 	lw	a0,3\(a0\)
   4731 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   4732 [ 0-9a-f]+:	0024 0950 	addu	at,a0,at
   4733 [ 0-9a-f]+:	fc61 5678 	lw	v1,22136\(at\)
   4734 [ 0-9a-f]+:	fc81 567c 	lw	a0,22140\(at\)
   4735 [ 0-9a-f]+:	4700      	jraddiusp	0
   4736 [ 0-9a-f]+:	4701      	jraddiusp	4
   4737 [ 0-9a-f]+:	4702      	jraddiusp	8
   4738 [ 0-9a-f]+:	4703      	jraddiusp	12
   4739 [ 0-9a-f]+:	4704      	jraddiusp	16
   4740 [ 0-9a-f]+:	4705      	jraddiusp	20
   4741 [ 0-9a-f]+:	4706      	jraddiusp	24
   4742 [ 0-9a-f]+:	4707      	jraddiusp	28
   4743 [ 0-9a-f]+:	4708      	jraddiusp	32
   4744 [ 0-9a-f]+:	4709      	jraddiusp	36
   4745 [ 0-9a-f]+:	470a      	jraddiusp	40
   4746 [ 0-9a-f]+:	471e      	jraddiusp	120
   4747 [ 0-9a-f]+:	471f      	jraddiusp	124
   4748 [ 0-9a-f]+:	2060 2000 	ldc2	\$3,0\(zero\)
   4749 [ 0-9a-f]+:	2060 2000 	ldc2	\$3,0\(zero\)
   4750 [ 0-9a-f]+:	2060 2004 	ldc2	\$3,4\(zero\)
   4751 [ 0-9a-f]+:	2060 2004 	ldc2	\$3,4\(zero\)
   4752 [ 0-9a-f]+:	2064 2000 	ldc2	\$3,0\(a0\)
   4753 [ 0-9a-f]+:	2064 2000 	ldc2	\$3,0\(a0\)
   4754 [ 0-9a-f]+:	3024 7fff 	addiu	at,a0,32767
   4755 [ 0-9a-f]+:	2061 2000 	ldc2	\$3,0\(at\)
   4756 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   4757 [ 0-9a-f]+:	2061 2000 	ldc2	\$3,0\(at\)
   4758 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   4759 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   4760 [ 0-9a-f]+:	2061 2fff 	ldc2	\$3,-1\(at\)
   4761 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   4762 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   4763 [ 0-9a-f]+:	2061 2000 	ldc2	\$3,0\(at\)
   4764 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   4765 [ 0-9a-f]+:	2061 2000 	ldc2	\$3,0\(at\)
   4766 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   4767 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   4768 [ 0-9a-f]+:	2061 2001 	ldc2	\$3,1\(at\)
   4769 [ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
   4770 [ 0-9a-f]+:	2061 2000 	ldc2	\$3,0\(at\)
   4771 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   4772 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   4773 [ 0-9a-f]+:	2061 2000 	ldc2	\$3,0\(at\)
   4774 [ 0-9a-f]+:	2064 2fff 	ldc2	\$3,-1\(a0\)
   4775 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   4776 [ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
   4777 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   4778 [ 0-9a-f]+:	2061 2678 	ldc2	\$3,1656\(at\)
   4779 [ 0-9a-f]+:	2060 0000 	lwc2	\$3,0\(zero\)
   4780 [ 0-9a-f]+:	2060 0000 	lwc2	\$3,0\(zero\)
   4781 [ 0-9a-f]+:	2060 0004 	lwc2	\$3,4\(zero\)
   4782 [ 0-9a-f]+:	2060 0004 	lwc2	\$3,4\(zero\)
   4783 [ 0-9a-f]+:	2064 0000 	lwc2	\$3,0\(a0\)
   4784 [ 0-9a-f]+:	2064 0000 	lwc2	\$3,0\(a0\)
   4785 [ 0-9a-f]+:	3024 7fff 	addiu	at,a0,32767
   4786 [ 0-9a-f]+:	2061 0000 	lwc2	\$3,0\(at\)
   4787 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   4788 [ 0-9a-f]+:	2061 0000 	lwc2	\$3,0\(at\)
   4789 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   4790 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   4791 [ 0-9a-f]+:	2061 0fff 	lwc2	\$3,-1\(at\)
   4792 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   4793 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   4794 [ 0-9a-f]+:	2061 0000 	lwc2	\$3,0\(at\)
   4795 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   4796 [ 0-9a-f]+:	2061 0000 	lwc2	\$3,0\(at\)
   4797 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   4798 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   4799 [ 0-9a-f]+:	2061 0001 	lwc2	\$3,1\(at\)
   4800 [ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
   4801 [ 0-9a-f]+:	2061 0000 	lwc2	\$3,0\(at\)
   4802 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   4803 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   4804 [ 0-9a-f]+:	2061 0000 	lwc2	\$3,0\(at\)
   4805 [ 0-9a-f]+:	2064 0fff 	lwc2	\$3,-1\(a0\)
   4806 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   4807 [ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
   4808 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   4809 [ 0-9a-f]+:	2061 0678 	lwc2	\$3,1656\(at\)
   4810 [ 0-9a-f]+:	00a0 4d3c 	mfc2	a1,\$0
   4811 [ 0-9a-f]+:	00a1 4d3c 	mfc2	a1,\$1
   4812 [ 0-9a-f]+:	00a2 4d3c 	mfc2	a1,\$2
   4813 [ 0-9a-f]+:	00a3 4d3c 	mfc2	a1,\$3
   4814 [ 0-9a-f]+:	00a4 4d3c 	mfc2	a1,\$4
   4815 [ 0-9a-f]+:	00a5 4d3c 	mfc2	a1,\$5
   4816 [ 0-9a-f]+:	00a6 4d3c 	mfc2	a1,\$6
   4817 [ 0-9a-f]+:	00a7 4d3c 	mfc2	a1,\$7
   4818 [ 0-9a-f]+:	00a8 4d3c 	mfc2	a1,\$8
   4819 [ 0-9a-f]+:	00a9 4d3c 	mfc2	a1,\$9
   4820 [ 0-9a-f]+:	00aa 4d3c 	mfc2	a1,\$10
   4821 [ 0-9a-f]+:	00ab 4d3c 	mfc2	a1,\$11
   4822 [ 0-9a-f]+:	00ac 4d3c 	mfc2	a1,\$12
   4823 [ 0-9a-f]+:	00ad 4d3c 	mfc2	a1,\$13
   4824 [ 0-9a-f]+:	00ae 4d3c 	mfc2	a1,\$14
   4825 [ 0-9a-f]+:	00af 4d3c 	mfc2	a1,\$15
   4826 [ 0-9a-f]+:	00b0 4d3c 	mfc2	a1,\$16
   4827 [ 0-9a-f]+:	00b1 4d3c 	mfc2	a1,\$17
   4828 [ 0-9a-f]+:	00b2 4d3c 	mfc2	a1,\$18
   4829 [ 0-9a-f]+:	00b3 4d3c 	mfc2	a1,\$19
   4830 [ 0-9a-f]+:	00b4 4d3c 	mfc2	a1,\$20
   4831 [ 0-9a-f]+:	00b5 4d3c 	mfc2	a1,\$21
   4832 [ 0-9a-f]+:	00b6 4d3c 	mfc2	a1,\$22
   4833 [ 0-9a-f]+:	00b7 4d3c 	mfc2	a1,\$23
   4834 [ 0-9a-f]+:	00b8 4d3c 	mfc2	a1,\$24
   4835 [ 0-9a-f]+:	00b9 4d3c 	mfc2	a1,\$25
   4836 [ 0-9a-f]+:	00ba 4d3c 	mfc2	a1,\$26
   4837 [ 0-9a-f]+:	00bb 4d3c 	mfc2	a1,\$27
   4838 [ 0-9a-f]+:	00bc 4d3c 	mfc2	a1,\$28
   4839 [ 0-9a-f]+:	00bd 4d3c 	mfc2	a1,\$29
   4840 [ 0-9a-f]+:	00be 4d3c 	mfc2	a1,\$30
   4841 [ 0-9a-f]+:	00bf 4d3c 	mfc2	a1,\$31
   4842 [ 0-9a-f]+:	00a0 8d3c 	mfhc2	a1,\$0
   4843 [ 0-9a-f]+:	00a1 8d3c 	mfhc2	a1,\$1
   4844 [ 0-9a-f]+:	00a2 8d3c 	mfhc2	a1,\$2
   4845 [ 0-9a-f]+:	00a3 8d3c 	mfhc2	a1,\$3
   4846 [ 0-9a-f]+:	00a4 8d3c 	mfhc2	a1,\$4
   4847 [ 0-9a-f]+:	00a5 8d3c 	mfhc2	a1,\$5
   4848 [ 0-9a-f]+:	00a6 8d3c 	mfhc2	a1,\$6
   4849 [ 0-9a-f]+:	00a7 8d3c 	mfhc2	a1,\$7
   4850 [ 0-9a-f]+:	00a8 8d3c 	mfhc2	a1,\$8
   4851 [ 0-9a-f]+:	00a9 8d3c 	mfhc2	a1,\$9
   4852 [ 0-9a-f]+:	00aa 8d3c 	mfhc2	a1,\$10
   4853 [ 0-9a-f]+:	00ab 8d3c 	mfhc2	a1,\$11
   4854 [ 0-9a-f]+:	00ac 8d3c 	mfhc2	a1,\$12
   4855 [ 0-9a-f]+:	00ad 8d3c 	mfhc2	a1,\$13
   4856 [ 0-9a-f]+:	00ae 8d3c 	mfhc2	a1,\$14
   4857 [ 0-9a-f]+:	00af 8d3c 	mfhc2	a1,\$15
   4858 [ 0-9a-f]+:	00b0 8d3c 	mfhc2	a1,\$16
   4859 [ 0-9a-f]+:	00b1 8d3c 	mfhc2	a1,\$17
   4860 [ 0-9a-f]+:	00b2 8d3c 	mfhc2	a1,\$18
   4861 [ 0-9a-f]+:	00b3 8d3c 	mfhc2	a1,\$19
   4862 [ 0-9a-f]+:	00b4 8d3c 	mfhc2	a1,\$20
   4863 [ 0-9a-f]+:	00b5 8d3c 	mfhc2	a1,\$21
   4864 [ 0-9a-f]+:	00b6 8d3c 	mfhc2	a1,\$22
   4865 [ 0-9a-f]+:	00b7 8d3c 	mfhc2	a1,\$23
   4866 [ 0-9a-f]+:	00b8 8d3c 	mfhc2	a1,\$24
   4867 [ 0-9a-f]+:	00b9 8d3c 	mfhc2	a1,\$25
   4868 [ 0-9a-f]+:	00ba 8d3c 	mfhc2	a1,\$26
   4869 [ 0-9a-f]+:	00bb 8d3c 	mfhc2	a1,\$27
   4870 [ 0-9a-f]+:	00bc 8d3c 	mfhc2	a1,\$28
   4871 [ 0-9a-f]+:	00bd 8d3c 	mfhc2	a1,\$29
   4872 [ 0-9a-f]+:	00be 8d3c 	mfhc2	a1,\$30
   4873 [ 0-9a-f]+:	00bf 8d3c 	mfhc2	a1,\$31
   4874 [ 0-9a-f]+:	00a0 5d3c 	mtc2	a1,\$0
   4875 [ 0-9a-f]+:	00a1 5d3c 	mtc2	a1,\$1
   4876 [ 0-9a-f]+:	00a2 5d3c 	mtc2	a1,\$2
   4877 [ 0-9a-f]+:	00a3 5d3c 	mtc2	a1,\$3
   4878 [ 0-9a-f]+:	00a4 5d3c 	mtc2	a1,\$4
   4879 [ 0-9a-f]+:	00a5 5d3c 	mtc2	a1,\$5
   4880 [ 0-9a-f]+:	00a6 5d3c 	mtc2	a1,\$6
   4881 [ 0-9a-f]+:	00a7 5d3c 	mtc2	a1,\$7
   4882 [ 0-9a-f]+:	00a8 5d3c 	mtc2	a1,\$8
   4883 [ 0-9a-f]+:	00a9 5d3c 	mtc2	a1,\$9
   4884 [ 0-9a-f]+:	00aa 5d3c 	mtc2	a1,\$10
   4885 [ 0-9a-f]+:	00ab 5d3c 	mtc2	a1,\$11
   4886 [ 0-9a-f]+:	00ac 5d3c 	mtc2	a1,\$12
   4887 [ 0-9a-f]+:	00ad 5d3c 	mtc2	a1,\$13
   4888 [ 0-9a-f]+:	00ae 5d3c 	mtc2	a1,\$14
   4889 [ 0-9a-f]+:	00af 5d3c 	mtc2	a1,\$15
   4890 [ 0-9a-f]+:	00b0 5d3c 	mtc2	a1,\$16
   4891 [ 0-9a-f]+:	00b1 5d3c 	mtc2	a1,\$17
   4892 [ 0-9a-f]+:	00b2 5d3c 	mtc2	a1,\$18
   4893 [ 0-9a-f]+:	00b3 5d3c 	mtc2	a1,\$19
   4894 [ 0-9a-f]+:	00b4 5d3c 	mtc2	a1,\$20
   4895 [ 0-9a-f]+:	00b5 5d3c 	mtc2	a1,\$21
   4896 [ 0-9a-f]+:	00b6 5d3c 	mtc2	a1,\$22
   4897 [ 0-9a-f]+:	00b7 5d3c 	mtc2	a1,\$23
   4898 [ 0-9a-f]+:	00b8 5d3c 	mtc2	a1,\$24
   4899 [ 0-9a-f]+:	00b9 5d3c 	mtc2	a1,\$25
   4900 [ 0-9a-f]+:	00ba 5d3c 	mtc2	a1,\$26
   4901 [ 0-9a-f]+:	00bb 5d3c 	mtc2	a1,\$27
   4902 [ 0-9a-f]+:	00bc 5d3c 	mtc2	a1,\$28
   4903 [ 0-9a-f]+:	00bd 5d3c 	mtc2	a1,\$29
   4904 [ 0-9a-f]+:	00be 5d3c 	mtc2	a1,\$30
   4905 [ 0-9a-f]+:	00bf 5d3c 	mtc2	a1,\$31
   4906 [ 0-9a-f]+:	00a0 9d3c 	mthc2	a1,\$0
   4907 [ 0-9a-f]+:	00a1 9d3c 	mthc2	a1,\$1
   4908 [ 0-9a-f]+:	00a2 9d3c 	mthc2	a1,\$2
   4909 [ 0-9a-f]+:	00a3 9d3c 	mthc2	a1,\$3
   4910 [ 0-9a-f]+:	00a4 9d3c 	mthc2	a1,\$4
   4911 [ 0-9a-f]+:	00a5 9d3c 	mthc2	a1,\$5
   4912 [ 0-9a-f]+:	00a6 9d3c 	mthc2	a1,\$6
   4913 [ 0-9a-f]+:	00a7 9d3c 	mthc2	a1,\$7
   4914 [ 0-9a-f]+:	00a8 9d3c 	mthc2	a1,\$8
   4915 [ 0-9a-f]+:	00a9 9d3c 	mthc2	a1,\$9
   4916 [ 0-9a-f]+:	00aa 9d3c 	mthc2	a1,\$10
   4917 [ 0-9a-f]+:	00ab 9d3c 	mthc2	a1,\$11
   4918 [ 0-9a-f]+:	00ac 9d3c 	mthc2	a1,\$12
   4919 [ 0-9a-f]+:	00ad 9d3c 	mthc2	a1,\$13
   4920 [ 0-9a-f]+:	00ae 9d3c 	mthc2	a1,\$14
   4921 [ 0-9a-f]+:	00af 9d3c 	mthc2	a1,\$15
   4922 [ 0-9a-f]+:	00b0 9d3c 	mthc2	a1,\$16
   4923 [ 0-9a-f]+:	00b1 9d3c 	mthc2	a1,\$17
   4924 [ 0-9a-f]+:	00b2 9d3c 	mthc2	a1,\$18
   4925 [ 0-9a-f]+:	00b3 9d3c 	mthc2	a1,\$19
   4926 [ 0-9a-f]+:	00b4 9d3c 	mthc2	a1,\$20
   4927 [ 0-9a-f]+:	00b5 9d3c 	mthc2	a1,\$21
   4928 [ 0-9a-f]+:	00b6 9d3c 	mthc2	a1,\$22
   4929 [ 0-9a-f]+:	00b7 9d3c 	mthc2	a1,\$23
   4930 [ 0-9a-f]+:	00b8 9d3c 	mthc2	a1,\$24
   4931 [ 0-9a-f]+:	00b9 9d3c 	mthc2	a1,\$25
   4932 [ 0-9a-f]+:	00ba 9d3c 	mthc2	a1,\$26
   4933 [ 0-9a-f]+:	00bb 9d3c 	mthc2	a1,\$27
   4934 [ 0-9a-f]+:	00bc 9d3c 	mthc2	a1,\$28
   4935 [ 0-9a-f]+:	00bd 9d3c 	mthc2	a1,\$29
   4936 [ 0-9a-f]+:	00be 9d3c 	mthc2	a1,\$30
   4937 [ 0-9a-f]+:	00bf 9d3c 	mthc2	a1,\$31
   4938 [ 0-9a-f]+:	2060 a000 	sdc2	\$3,0\(zero\)
   4939 [ 0-9a-f]+:	2060 a000 	sdc2	\$3,0\(zero\)
   4940 [ 0-9a-f]+:	2060 a004 	sdc2	\$3,4\(zero\)
   4941 [ 0-9a-f]+:	2060 a004 	sdc2	\$3,4\(zero\)
   4942 [ 0-9a-f]+:	2064 a000 	sdc2	\$3,0\(a0\)
   4943 [ 0-9a-f]+:	2064 a000 	sdc2	\$3,0\(a0\)
   4944 [ 0-9a-f]+:	3024 7fff 	addiu	at,a0,32767
   4945 [ 0-9a-f]+:	2061 a000 	sdc2	\$3,0\(at\)
   4946 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   4947 [ 0-9a-f]+:	2061 a000 	sdc2	\$3,0\(at\)
   4948 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   4949 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   4950 [ 0-9a-f]+:	2061 afff 	sdc2	\$3,-1\(at\)
   4951 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   4952 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   4953 [ 0-9a-f]+:	2061 a000 	sdc2	\$3,0\(at\)
   4954 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   4955 [ 0-9a-f]+:	2061 a000 	sdc2	\$3,0\(at\)
   4956 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   4957 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   4958 [ 0-9a-f]+:	2061 a001 	sdc2	\$3,1\(at\)
   4959 [ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
   4960 [ 0-9a-f]+:	2061 a000 	sdc2	\$3,0\(at\)
   4961 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   4962 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   4963 [ 0-9a-f]+:	2061 a000 	sdc2	\$3,0\(at\)
   4964 [ 0-9a-f]+:	2064 afff 	sdc2	\$3,-1\(a0\)
   4965 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   4966 [ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
   4967 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   4968 [ 0-9a-f]+:	2061 a678 	sdc2	\$3,1656\(at\)
   4969 [ 0-9a-f]+:	2060 8000 	swc2	\$3,0\(zero\)
   4970 [ 0-9a-f]+:	2060 8000 	swc2	\$3,0\(zero\)
   4971 [ 0-9a-f]+:	2060 8004 	swc2	\$3,4\(zero\)
   4972 [ 0-9a-f]+:	2060 8004 	swc2	\$3,4\(zero\)
   4973 [ 0-9a-f]+:	2064 8000 	swc2	\$3,0\(a0\)
   4974 [ 0-9a-f]+:	2064 8000 	swc2	\$3,0\(a0\)
   4975 [ 0-9a-f]+:	3024 7fff 	addiu	at,a0,32767
   4976 [ 0-9a-f]+:	2061 8000 	swc2	\$3,0\(at\)
   4977 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   4978 [ 0-9a-f]+:	2061 8000 	swc2	\$3,0\(at\)
   4979 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   4980 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   4981 [ 0-9a-f]+:	2061 8fff 	swc2	\$3,-1\(at\)
   4982 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   4983 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   4984 [ 0-9a-f]+:	2061 8000 	swc2	\$3,0\(at\)
   4985 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   4986 [ 0-9a-f]+:	2061 8000 	swc2	\$3,0\(at\)
   4987 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   4988 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   4989 [ 0-9a-f]+:	2061 8001 	swc2	\$3,1\(at\)
   4990 [ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
   4991 [ 0-9a-f]+:	2061 8000 	swc2	\$3,0\(at\)
   4992 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   4993 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   4994 [ 0-9a-f]+:	2061 8000 	swc2	\$3,0\(at\)
   4995 [ 0-9a-f]+:	2064 8fff 	swc2	\$3,-1\(a0\)
   4996 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   4997 [ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
   4998 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   4999 [ 0-9a-f]+:	2061 8678 	swc2	\$3,1656\(at\)
   5000 [ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
   5001 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   5002 [ 0-9a-f]+:	2001 6000 	cache	0x0,0\(at\)
   5003 [ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
   5004 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   5005 [ 0-9a-f]+:	2041 1000 	lwp	v0,0\(at\)
   5006 [ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
   5007 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   5008 [ 0-9a-f]+:	2041 9000 	swp	v0,0\(at\)
   5009 [ 0-9a-f]+:	3043 0000 	addiu	v0,v1,0
   5010 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   5011 [ 0-9a-f]+:	6042 3000 	ll	v0,0\(v0\)
   5012 [ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
   5013 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   5014 [ 0-9a-f]+:	6041 b000 	sc	v0,0\(at\)
   5015 [ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
   5016 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   5017 [ 0-9a-f]+:	6041 0000 	lwl	v0,0\(at\)
   5018 [ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
   5019 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   5020 [ 0-9a-f]+:	6041 1000 	lwr	v0,0\(at\)
   5021 [ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
   5022 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   5023 [ 0-9a-f]+:	6041 8000 	swl	v0,0\(at\)
   5024 [ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
   5025 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   5026 [ 0-9a-f]+:	6041 9000 	swr	v0,0\(at\)
   5027 [ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
   5028 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   5029 [ 0-9a-f]+:	2021 5000 	lwm	s0,0\(at\)
   5030 [ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
   5031 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   5032 [ 0-9a-f]+:	2021 d000 	swm	s0,0\(at\)
   5033 [ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
   5034 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   5035 [ 0-9a-f]+:	2201 0000 	lwc2	\$16,0\(at\)
   5036 [ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
   5037 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   5038 [ 0-9a-f]+:	2201 8000 	swc2	\$16,0\(at\)
   5039 [ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
   5040 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   5041 [ 0-9a-f]+:	6041 0000 	lwl	v0,0\(at\)
   5042 [ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
   5043 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   5044 [ 0-9a-f]+:	6041 1000 	lwr	v0,0\(at\)
   5045 [ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
   5046 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   5047 [ 0-9a-f]+:	6041 8000 	swl	v0,0\(at\)
   5048 [ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
   5049 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   5050 [ 0-9a-f]+:	6041 9000 	swr	v0,0\(at\)
   5051 [ 0-9a-f]+:	03ff db7c 	sdbbp	0x3ff
   5052 [ 0-9a-f]+:	03ff 937c 	wait	0x3ff
   5053 [ 0-9a-f]+:	03ff 8b7c 	syscall	0x3ff
   5054 [ 0-9a-f]+:	03ff fffa 	cop2	0x7fffff
   5055 [ 0-9a-f]+:	0c00      	nop
   5056 
   5057 [0-9a-f]+ <fp_test>:
   5058 [ 0-9a-f]+:	5400 01a0 	prefx	0x0,zero\(zero\)
   5059 [ 0-9a-f]+:	5402 01a0 	prefx	0x0,zero\(v0\)
   5060 [ 0-9a-f]+:	541f 01a0 	prefx	0x0,zero\(ra\)
   5061 [ 0-9a-f]+:	545f 01a0 	prefx	0x0,v0\(ra\)
   5062 [ 0-9a-f]+:	57ff 01a0 	prefx	0x0,ra\(ra\)
   5063 [ 0-9a-f]+:	57ff 09a0 	prefx	0x1,ra\(ra\)
   5064 [ 0-9a-f]+:	57ff 11a0 	prefx	0x2,ra\(ra\)
   5065 [ 0-9a-f]+:	57ff f9a0 	prefx	0x1f,ra\(ra\)
   5066 [ 0-9a-f]+:	5401 037b 	abs\.s	\$f0,\$f1
   5067 [ 0-9a-f]+:	57df 037b 	abs\.s	\$f30,\$f31
   5068 [ 0-9a-f]+:	5442 037b 	abs\.s	\$f2,\$f2
   5069 [ 0-9a-f]+:	5442 037b 	abs\.s	\$f2,\$f2
   5070 [ 0-9a-f]+:	5401 237b 	abs\.d	\$f0,\$f1
   5071 [ 0-9a-f]+:	57df 237b 	abs\.d	\$f30,\$f31
   5072 [ 0-9a-f]+:	5442 237b 	abs\.d	\$f2,\$f2
   5073 [ 0-9a-f]+:	5442 237b 	abs\.d	\$f2,\$f2
   5074 [ 0-9a-f]+:	5401 437b 	abs\.ps	\$f0,\$f1
   5075 [ 0-9a-f]+:	57df 437b 	abs\.ps	\$f30,\$f31
   5076 [ 0-9a-f]+:	5442 437b 	abs\.ps	\$f2,\$f2
   5077 [ 0-9a-f]+:	5442 437b 	abs\.ps	\$f2,\$f2
   5078 [ 0-9a-f]+:	5441 0030 	add\.s	\$f0,\$f1,\$f2
   5079 [ 0-9a-f]+:	57fe e830 	add\.s	\$f29,\$f30,\$f31
   5080 [ 0-9a-f]+:	57dd e830 	add\.s	\$f29,\$f29,\$f30
   5081 [ 0-9a-f]+:	57dd e830 	add\.s	\$f29,\$f29,\$f30
   5082 [ 0-9a-f]+:	5441 0130 	add\.d	\$f0,\$f1,\$f2
   5083 [ 0-9a-f]+:	57fe e930 	add\.d	\$f29,\$f30,\$f31
   5084 [ 0-9a-f]+:	57dd e930 	add\.d	\$f29,\$f29,\$f30
   5085 [ 0-9a-f]+:	57dd e930 	add\.d	\$f29,\$f29,\$f30
   5086 [ 0-9a-f]+:	5441 0230 	add\.ps	\$f0,\$f1,\$f2
   5087 [ 0-9a-f]+:	57fe ea30 	add\.ps	\$f29,\$f30,\$f31
   5088 [ 0-9a-f]+:	57dd ea30 	add\.ps	\$f29,\$f29,\$f30
   5089 [ 0-9a-f]+:	57dd ea30 	add\.ps	\$f29,\$f29,\$f30
   5090 [ 0-9a-f]+:	5441 0019 	alnv\.ps	\$f0,\$f1,\$f2,zero
   5091 [ 0-9a-f]+:	5441 0099 	alnv\.ps	\$f0,\$f1,\$f2,v0
   5092 [ 0-9a-f]+:	5441 07d9 	alnv\.ps	\$f0,\$f1,\$f2,ra
   5093 [ 0-9a-f]+:	57fe efd9 	alnv\.ps	\$f29,\$f30,\$f31,ra
   5094 [ 0-9a-f]+:	4380 fffe 	bc1f	[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
   5095 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
   5096 [ 0-9a-f]+:	57fd efd9 	alnv\.ps	\$f29,\$f29,\$f31,ra
   5097 [ 0-9a-f]+:	4380 fffe 	bc1f	[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
   5098 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
   5099 [ 0-9a-f]+:	0c00      	nop
   5100 [ 0-9a-f]+:	4384 fffe 	bc1f	\$fcc1,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
   5101 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
   5102 [ 0-9a-f]+:	0c00      	nop
   5103 [ 0-9a-f]+:	4388 fffe 	bc1f	\$fcc2,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
   5104 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
   5105 [ 0-9a-f]+:	0c00      	nop
   5106 [ 0-9a-f]+:	438c fffe 	bc1f	\$fcc3,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
   5107 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
   5108 [ 0-9a-f]+:	0c00      	nop
   5109 [ 0-9a-f]+:	4390 fffe 	bc1f	\$fcc4,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
   5110 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
   5111 [ 0-9a-f]+:	0c00      	nop
   5112 [ 0-9a-f]+:	4394 fffe 	bc1f	\$fcc5,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
   5113 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
   5114 [ 0-9a-f]+:	0c00      	nop
   5115 [ 0-9a-f]+:	4398 fffe 	bc1f	\$fcc6,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
   5116 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
   5117 [ 0-9a-f]+:	0c00      	nop
   5118 [ 0-9a-f]+:	439c fffe 	bc1f	\$fcc7,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
   5119 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
   5120 [ 0-9a-f]+:	0c00      	nop
   5121 [ 0-9a-f]+:	43a0 fffe 	bc1t	[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
   5122 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
   5123 [ 0-9a-f]+:	0c00      	nop
   5124 [ 0-9a-f]+:	43a0 fffe 	bc1t	[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
   5125 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
   5126 [ 0-9a-f]+:	0c00      	nop
   5127 [ 0-9a-f]+:	43a4 fffe 	bc1t	\$fcc1,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
   5128 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
   5129 [ 0-9a-f]+:	0c00      	nop
   5130 [ 0-9a-f]+:	43a8 fffe 	bc1t	\$fcc2,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
   5131 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
   5132 [ 0-9a-f]+:	0c00      	nop
   5133 [ 0-9a-f]+:	43ac fffe 	bc1t	\$fcc3,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
   5134 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
   5135 [ 0-9a-f]+:	0c00      	nop
   5136 [ 0-9a-f]+:	43b0 fffe 	bc1t	\$fcc4,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
   5137 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
   5138 [ 0-9a-f]+:	0c00      	nop
   5139 [ 0-9a-f]+:	43b4 fffe 	bc1t	\$fcc5,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
   5140 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
   5141 [ 0-9a-f]+:	0c00      	nop
   5142 [ 0-9a-f]+:	43b8 fffe 	bc1t	\$fcc6,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
   5143 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
   5144 [ 0-9a-f]+:	0c00      	nop
   5145 [ 0-9a-f]+:	43bc fffe 	bc1t	\$fcc7,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
   5146 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	fp_test
   5147 [ 0-9a-f]+:	0c00      	nop
   5148 [ 0-9a-f]+:	5420 043c 	c\.f\.d	\$f0,\$f1
   5149 [ 0-9a-f]+:	57fe 043c 	c\.f\.d	\$f30,\$f31
   5150 [ 0-9a-f]+:	57fe 043c 	c\.f\.d	\$f30,\$f31
   5151 [ 0-9a-f]+:	57fe 243c 	c\.f\.d	\$fcc1,\$f30,\$f31
   5152 [ 0-9a-f]+:	57fe e43c 	c\.f\.d	\$fcc7,\$f30,\$f31
   5153 [ 0-9a-f]+:	5420 003c 	c\.f\.s	\$f0,\$f1
   5154 [ 0-9a-f]+:	57fe 003c 	c\.f\.s	\$f30,\$f31
   5155 [ 0-9a-f]+:	57fe 003c 	c\.f\.s	\$f30,\$f31
   5156 [ 0-9a-f]+:	57fe 203c 	c\.f\.s	\$fcc1,\$f30,\$f31
   5157 [ 0-9a-f]+:	57fe e03c 	c\.f\.s	\$fcc7,\$f30,\$f31
   5158 [ 0-9a-f]+:	5420 083c 	c\.f\.ps	\$f0,\$f1
   5159 [ 0-9a-f]+:	57fe 083c 	c\.f\.ps	\$f30,\$f31
   5160 [ 0-9a-f]+:	57fe 083c 	c\.f\.ps	\$f30,\$f31
   5161 [ 0-9a-f]+:	57fe 483c 	c\.f\.ps	\$fcc2,\$f30,\$f31
   5162 [ 0-9a-f]+:	57fe c83c 	c\.f\.ps	\$fcc6,\$f30,\$f31
   5163 [ 0-9a-f]+:	5420 047c 	c\.un\.d	\$f0,\$f1
   5164 [ 0-9a-f]+:	57fe 047c 	c\.un\.d	\$f30,\$f31
   5165 [ 0-9a-f]+:	57fe 047c 	c\.un\.d	\$f30,\$f31
   5166 [ 0-9a-f]+:	57fe 247c 	c\.un\.d	\$fcc1,\$f30,\$f31
   5167 [ 0-9a-f]+:	57fe e47c 	c\.un\.d	\$fcc7,\$f30,\$f31
   5168 [ 0-9a-f]+:	5420 007c 	c\.un\.s	\$f0,\$f1
   5169 [ 0-9a-f]+:	57fe 007c 	c\.un\.s	\$f30,\$f31
   5170 [ 0-9a-f]+:	57fe 007c 	c\.un\.s	\$f30,\$f31
   5171 [ 0-9a-f]+:	57fe 207c 	c\.un\.s	\$fcc1,\$f30,\$f31
   5172 [ 0-9a-f]+:	57fe e07c 	c\.un\.s	\$fcc7,\$f30,\$f31
   5173 [ 0-9a-f]+:	5420 087c 	c\.un\.ps	\$f0,\$f1
   5174 [ 0-9a-f]+:	57fe 087c 	c\.un\.ps	\$f30,\$f31
   5175 [ 0-9a-f]+:	57fe 087c 	c\.un\.ps	\$f30,\$f31
   5176 [ 0-9a-f]+:	57fe 487c 	c\.un\.ps	\$fcc2,\$f30,\$f31
   5177 [ 0-9a-f]+:	57fe c87c 	c\.un\.ps	\$fcc6,\$f30,\$f31
   5178 [ 0-9a-f]+:	5420 04bc 	c\.eq\.d	\$f0,\$f1
   5179 [ 0-9a-f]+:	57fe 04bc 	c\.eq\.d	\$f30,\$f31
   5180 [ 0-9a-f]+:	57fe 04bc 	c\.eq\.d	\$f30,\$f31
   5181 [ 0-9a-f]+:	57fe 24bc 	c\.eq\.d	\$fcc1,\$f30,\$f31
   5182 [ 0-9a-f]+:	57fe e4bc 	c\.eq\.d	\$fcc7,\$f30,\$f31
   5183 [ 0-9a-f]+:	5420 00bc 	c\.eq\.s	\$f0,\$f1
   5184 [ 0-9a-f]+:	57fe 00bc 	c\.eq\.s	\$f30,\$f31
   5185 [ 0-9a-f]+:	57fe 00bc 	c\.eq\.s	\$f30,\$f31
   5186 [ 0-9a-f]+:	57fe 20bc 	c\.eq\.s	\$fcc1,\$f30,\$f31
   5187 [ 0-9a-f]+:	57fe e0bc 	c\.eq\.s	\$fcc7,\$f30,\$f31
   5188 [ 0-9a-f]+:	5420 08bc 	c\.eq\.ps	\$f0,\$f1
   5189 [ 0-9a-f]+:	57fe 08bc 	c\.eq\.ps	\$f30,\$f31
   5190 [ 0-9a-f]+:	57fe 08bc 	c\.eq\.ps	\$f30,\$f31
   5191 [ 0-9a-f]+:	57fe 48bc 	c\.eq\.ps	\$fcc2,\$f30,\$f31
   5192 [ 0-9a-f]+:	57fe c8bc 	c\.eq\.ps	\$fcc6,\$f30,\$f31
   5193 [ 0-9a-f]+:	5420 04fc 	c\.ueq\.d	\$f0,\$f1
   5194 [ 0-9a-f]+:	57fe 04fc 	c\.ueq\.d	\$f30,\$f31
   5195 [ 0-9a-f]+:	57fe 04fc 	c\.ueq\.d	\$f30,\$f31
   5196 [ 0-9a-f]+:	57fe 24fc 	c\.ueq\.d	\$fcc1,\$f30,\$f31
   5197 [ 0-9a-f]+:	57fe e4fc 	c\.ueq\.d	\$fcc7,\$f30,\$f31
   5198 [ 0-9a-f]+:	5420 00fc 	c\.ueq\.s	\$f0,\$f1
   5199 [ 0-9a-f]+:	57fe 00fc 	c\.ueq\.s	\$f30,\$f31
   5200 [ 0-9a-f]+:	57fe 00fc 	c\.ueq\.s	\$f30,\$f31
   5201 [ 0-9a-f]+:	57fe 20fc 	c\.ueq\.s	\$fcc1,\$f30,\$f31
   5202 [ 0-9a-f]+:	57fe e0fc 	c\.ueq\.s	\$fcc7,\$f30,\$f31
   5203 [ 0-9a-f]+:	5420 08fc 	c\.ueq\.ps	\$f0,\$f1
   5204 [ 0-9a-f]+:	57fe 08fc 	c\.ueq\.ps	\$f30,\$f31
   5205 [ 0-9a-f]+:	57fe 08fc 	c\.ueq\.ps	\$f30,\$f31
   5206 [ 0-9a-f]+:	57fe 48fc 	c\.ueq\.ps	\$fcc2,\$f30,\$f31
   5207 [ 0-9a-f]+:	57fe c8fc 	c\.ueq\.ps	\$fcc6,\$f30,\$f31
   5208 [ 0-9a-f]+:	5420 053c 	c\.olt\.d	\$f0,\$f1
   5209 [ 0-9a-f]+:	57fe 053c 	c\.olt\.d	\$f30,\$f31
   5210 [ 0-9a-f]+:	57fe 053c 	c\.olt\.d	\$f30,\$f31
   5211 [ 0-9a-f]+:	57fe 253c 	c\.olt\.d	\$fcc1,\$f30,\$f31
   5212 [ 0-9a-f]+:	57fe e53c 	c\.olt\.d	\$fcc7,\$f30,\$f31
   5213 [ 0-9a-f]+:	5420 013c 	c\.olt\.s	\$f0,\$f1
   5214 [ 0-9a-f]+:	57fe 013c 	c\.olt\.s	\$f30,\$f31
   5215 [ 0-9a-f]+:	57fe 013c 	c\.olt\.s	\$f30,\$f31
   5216 [ 0-9a-f]+:	57fe 213c 	c\.olt\.s	\$fcc1,\$f30,\$f31
   5217 [ 0-9a-f]+:	57fe e13c 	c\.olt\.s	\$fcc7,\$f30,\$f31
   5218 [ 0-9a-f]+:	5420 093c 	c\.olt\.ps	\$f0,\$f1
   5219 [ 0-9a-f]+:	57fe 093c 	c\.olt\.ps	\$f30,\$f31
   5220 [ 0-9a-f]+:	57fe 093c 	c\.olt\.ps	\$f30,\$f31
   5221 [ 0-9a-f]+:	57fe 493c 	c\.olt\.ps	\$fcc2,\$f30,\$f31
   5222 [ 0-9a-f]+:	57fe c93c 	c\.olt\.ps	\$fcc6,\$f30,\$f31
   5223 [ 0-9a-f]+:	5420 057c 	c\.ult\.d	\$f0,\$f1
   5224 [ 0-9a-f]+:	57fe 057c 	c\.ult\.d	\$f30,\$f31
   5225 [ 0-9a-f]+:	57fe 057c 	c\.ult\.d	\$f30,\$f31
   5226 [ 0-9a-f]+:	57fe 257c 	c\.ult\.d	\$fcc1,\$f30,\$f31
   5227 [ 0-9a-f]+:	57fe e57c 	c\.ult\.d	\$fcc7,\$f30,\$f31
   5228 [ 0-9a-f]+:	5420 017c 	c\.ult\.s	\$f0,\$f1
   5229 [ 0-9a-f]+:	57fe 017c 	c\.ult\.s	\$f30,\$f31
   5230 [ 0-9a-f]+:	57fe 017c 	c\.ult\.s	\$f30,\$f31
   5231 [ 0-9a-f]+:	57fe 217c 	c\.ult\.s	\$fcc1,\$f30,\$f31
   5232 [ 0-9a-f]+:	57fe e17c 	c\.ult\.s	\$fcc7,\$f30,\$f31
   5233 [ 0-9a-f]+:	5420 097c 	c\.ult\.ps	\$f0,\$f1
   5234 [ 0-9a-f]+:	57fe 097c 	c\.ult\.ps	\$f30,\$f31
   5235 [ 0-9a-f]+:	57fe 097c 	c\.ult\.ps	\$f30,\$f31
   5236 [ 0-9a-f]+:	57fe 497c 	c\.ult\.ps	\$fcc2,\$f30,\$f31
   5237 [ 0-9a-f]+:	57fe c97c 	c\.ult\.ps	\$fcc6,\$f30,\$f31
   5238 [ 0-9a-f]+:	5420 05bc 	c\.ole\.d	\$f0,\$f1
   5239 [ 0-9a-f]+:	57fe 05bc 	c\.ole\.d	\$f30,\$f31
   5240 [ 0-9a-f]+:	57fe 05bc 	c\.ole\.d	\$f30,\$f31
   5241 [ 0-9a-f]+:	57fe 25bc 	c\.ole\.d	\$fcc1,\$f30,\$f31
   5242 [ 0-9a-f]+:	57fe e5bc 	c\.ole\.d	\$fcc7,\$f30,\$f31
   5243 [ 0-9a-f]+:	5420 01bc 	c\.ole\.s	\$f0,\$f1
   5244 [ 0-9a-f]+:	57fe 01bc 	c\.ole\.s	\$f30,\$f31
   5245 [ 0-9a-f]+:	57fe 01bc 	c\.ole\.s	\$f30,\$f31
   5246 [ 0-9a-f]+:	57fe 21bc 	c\.ole\.s	\$fcc1,\$f30,\$f31
   5247 [ 0-9a-f]+:	57fe e1bc 	c\.ole\.s	\$fcc7,\$f30,\$f31
   5248 [ 0-9a-f]+:	5420 09bc 	c\.ole\.ps	\$f0,\$f1
   5249 [ 0-9a-f]+:	57fe 09bc 	c\.ole\.ps	\$f30,\$f31
   5250 [ 0-9a-f]+:	57fe 09bc 	c\.ole\.ps	\$f30,\$f31
   5251 [ 0-9a-f]+:	57fe 49bc 	c\.ole\.ps	\$fcc2,\$f30,\$f31
   5252 [ 0-9a-f]+:	57fe c9bc 	c\.ole\.ps	\$fcc6,\$f30,\$f31
   5253 [ 0-9a-f]+:	5420 05fc 	c\.ule\.d	\$f0,\$f1
   5254 [ 0-9a-f]+:	57fe 05fc 	c\.ule\.d	\$f30,\$f31
   5255 [ 0-9a-f]+:	57fe 05fc 	c\.ule\.d	\$f30,\$f31
   5256 [ 0-9a-f]+:	57fe 25fc 	c\.ule\.d	\$fcc1,\$f30,\$f31
   5257 [ 0-9a-f]+:	57fe e5fc 	c\.ule\.d	\$fcc7,\$f30,\$f31
   5258 [ 0-9a-f]+:	5420 01fc 	c\.ule\.s	\$f0,\$f1
   5259 [ 0-9a-f]+:	57fe 01fc 	c\.ule\.s	\$f30,\$f31
   5260 [ 0-9a-f]+:	57fe 01fc 	c\.ule\.s	\$f30,\$f31
   5261 [ 0-9a-f]+:	57fe 21fc 	c\.ule\.s	\$fcc1,\$f30,\$f31
   5262 [ 0-9a-f]+:	57fe e1fc 	c\.ule\.s	\$fcc7,\$f30,\$f31
   5263 [ 0-9a-f]+:	5420 09fc 	c\.ule\.ps	\$f0,\$f1
   5264 [ 0-9a-f]+:	57fe 09fc 	c\.ule\.ps	\$f30,\$f31
   5265 [ 0-9a-f]+:	57fe 09fc 	c\.ule\.ps	\$f30,\$f31
   5266 [ 0-9a-f]+:	57fe 49fc 	c\.ule\.ps	\$fcc2,\$f30,\$f31
   5267 [ 0-9a-f]+:	57fe c9fc 	c\.ule\.ps	\$fcc6,\$f30,\$f31
   5268 [ 0-9a-f]+:	5420 063c 	c\.sf\.d	\$f0,\$f1
   5269 [ 0-9a-f]+:	57fe 063c 	c\.sf\.d	\$f30,\$f31
   5270 [ 0-9a-f]+:	57fe 063c 	c\.sf\.d	\$f30,\$f31
   5271 [ 0-9a-f]+:	57fe 263c 	c\.sf\.d	\$fcc1,\$f30,\$f31
   5272 [ 0-9a-f]+:	57fe e63c 	c\.sf\.d	\$fcc7,\$f30,\$f31
   5273 [ 0-9a-f]+:	5420 023c 	c\.sf\.s	\$f0,\$f1
   5274 [ 0-9a-f]+:	57fe 023c 	c\.sf\.s	\$f30,\$f31
   5275 [ 0-9a-f]+:	57fe 023c 	c\.sf\.s	\$f30,\$f31
   5276 [ 0-9a-f]+:	57fe 223c 	c\.sf\.s	\$fcc1,\$f30,\$f31
   5277 [ 0-9a-f]+:	57fe e23c 	c\.sf\.s	\$fcc7,\$f30,\$f31
   5278 [ 0-9a-f]+:	5420 0a3c 	c\.sf\.ps	\$f0,\$f1
   5279 [ 0-9a-f]+:	57fe 0a3c 	c\.sf\.ps	\$f30,\$f31
   5280 [ 0-9a-f]+:	57fe 0a3c 	c\.sf\.ps	\$f30,\$f31
   5281 [ 0-9a-f]+:	57fe 4a3c 	c\.sf\.ps	\$fcc2,\$f30,\$f31
   5282 [ 0-9a-f]+:	57fe ca3c 	c\.sf\.ps	\$fcc6,\$f30,\$f31
   5283 [ 0-9a-f]+:	5420 067c 	c\.ngle\.d	\$f0,\$f1
   5284 [ 0-9a-f]+:	57fe 067c 	c\.ngle\.d	\$f30,\$f31
   5285 [ 0-9a-f]+:	57fe 067c 	c\.ngle\.d	\$f30,\$f31
   5286 [ 0-9a-f]+:	57fe 267c 	c\.ngle\.d	\$fcc1,\$f30,\$f31
   5287 [ 0-9a-f]+:	57fe e67c 	c\.ngle\.d	\$fcc7,\$f30,\$f31
   5288 [ 0-9a-f]+:	5420 027c 	c\.ngle\.s	\$f0,\$f1
   5289 [ 0-9a-f]+:	57fe 027c 	c\.ngle\.s	\$f30,\$f31
   5290 [ 0-9a-f]+:	57fe 027c 	c\.ngle\.s	\$f30,\$f31
   5291 [ 0-9a-f]+:	57fe 227c 	c\.ngle\.s	\$fcc1,\$f30,\$f31
   5292 [ 0-9a-f]+:	57fe e27c 	c\.ngle\.s	\$fcc7,\$f30,\$f31
   5293 [ 0-9a-f]+:	5420 0a7c 	c\.ngle\.ps	\$f0,\$f1
   5294 [ 0-9a-f]+:	57fe 0a7c 	c\.ngle\.ps	\$f30,\$f31
   5295 [ 0-9a-f]+:	57fe 0a7c 	c\.ngle\.ps	\$f30,\$f31
   5296 [ 0-9a-f]+:	57fe 4a7c 	c\.ngle\.ps	\$fcc2,\$f30,\$f31
   5297 [ 0-9a-f]+:	57fe ca7c 	c\.ngle\.ps	\$fcc6,\$f30,\$f31
   5298 [ 0-9a-f]+:	5420 06bc 	c\.seq\.d	\$f0,\$f1
   5299 [ 0-9a-f]+:	57fe 06bc 	c\.seq\.d	\$f30,\$f31
   5300 [ 0-9a-f]+:	57fe 06bc 	c\.seq\.d	\$f30,\$f31
   5301 [ 0-9a-f]+:	57fe 26bc 	c\.seq\.d	\$fcc1,\$f30,\$f31
   5302 [ 0-9a-f]+:	57fe e6bc 	c\.seq\.d	\$fcc7,\$f30,\$f31
   5303 [ 0-9a-f]+:	5420 02bc 	c\.seq\.s	\$f0,\$f1
   5304 [ 0-9a-f]+:	57fe 02bc 	c\.seq\.s	\$f30,\$f31
   5305 [ 0-9a-f]+:	57fe 02bc 	c\.seq\.s	\$f30,\$f31
   5306 [ 0-9a-f]+:	57fe 22bc 	c\.seq\.s	\$fcc1,\$f30,\$f31
   5307 [ 0-9a-f]+:	57fe e2bc 	c\.seq\.s	\$fcc7,\$f30,\$f31
   5308 [ 0-9a-f]+:	5420 0abc 	c\.seq\.ps	\$f0,\$f1
   5309 [ 0-9a-f]+:	57fe 0abc 	c\.seq\.ps	\$f30,\$f31
   5310 [ 0-9a-f]+:	57fe 0abc 	c\.seq\.ps	\$f30,\$f31
   5311 [ 0-9a-f]+:	57fe 4abc 	c\.seq\.ps	\$fcc2,\$f30,\$f31
   5312 [ 0-9a-f]+:	57fe cabc 	c\.seq\.ps	\$fcc6,\$f30,\$f31
   5313 [ 0-9a-f]+:	5420 06fc 	c\.ngl\.d	\$f0,\$f1
   5314 [ 0-9a-f]+:	57fe 06fc 	c\.ngl\.d	\$f30,\$f31
   5315 [ 0-9a-f]+:	57fe 06fc 	c\.ngl\.d	\$f30,\$f31
   5316 [ 0-9a-f]+:	57fe 26fc 	c\.ngl\.d	\$fcc1,\$f30,\$f31
   5317 [ 0-9a-f]+:	57fe e6fc 	c\.ngl\.d	\$fcc7,\$f30,\$f31
   5318 [ 0-9a-f]+:	5420 02fc 	c\.ngl\.s	\$f0,\$f1
   5319 [ 0-9a-f]+:	57fe 02fc 	c\.ngl\.s	\$f30,\$f31
   5320 [ 0-9a-f]+:	57fe 02fc 	c\.ngl\.s	\$f30,\$f31
   5321 [ 0-9a-f]+:	57fe 22fc 	c\.ngl\.s	\$fcc1,\$f30,\$f31
   5322 [ 0-9a-f]+:	57fe e2fc 	c\.ngl\.s	\$fcc7,\$f30,\$f31
   5323 [ 0-9a-f]+:	5420 0afc 	c\.ngl\.ps	\$f0,\$f1
   5324 [ 0-9a-f]+:	57fe 0afc 	c\.ngl\.ps	\$f30,\$f31
   5325 [ 0-9a-f]+:	57fe 0afc 	c\.ngl\.ps	\$f30,\$f31
   5326 [ 0-9a-f]+:	57fe 4afc 	c\.ngl\.ps	\$fcc2,\$f30,\$f31
   5327 [ 0-9a-f]+:	57fe cafc 	c\.ngl\.ps	\$fcc6,\$f30,\$f31
   5328 [ 0-9a-f]+:	5420 073c 	c\.lt\.d	\$f0,\$f1
   5329 [ 0-9a-f]+:	57fe 073c 	c\.lt\.d	\$f30,\$f31
   5330 [ 0-9a-f]+:	57fe 073c 	c\.lt\.d	\$f30,\$f31
   5331 [ 0-9a-f]+:	57fe 273c 	c\.lt\.d	\$fcc1,\$f30,\$f31
   5332 [ 0-9a-f]+:	57fe e73c 	c\.lt\.d	\$fcc7,\$f30,\$f31
   5333 [ 0-9a-f]+:	5420 033c 	c\.lt\.s	\$f0,\$f1
   5334 [ 0-9a-f]+:	57fe 033c 	c\.lt\.s	\$f30,\$f31
   5335 [ 0-9a-f]+:	57fe 033c 	c\.lt\.s	\$f30,\$f31
   5336 [ 0-9a-f]+:	57fe 233c 	c\.lt\.s	\$fcc1,\$f30,\$f31
   5337 [ 0-9a-f]+:	57fe e33c 	c\.lt\.s	\$fcc7,\$f30,\$f31
   5338 [ 0-9a-f]+:	5420 0b3c 	c\.lt\.ps	\$f0,\$f1
   5339 [ 0-9a-f]+:	57fe 0b3c 	c\.lt\.ps	\$f30,\$f31
   5340 [ 0-9a-f]+:	57fe 0b3c 	c\.lt\.ps	\$f30,\$f31
   5341 [ 0-9a-f]+:	57fe 4b3c 	c\.lt\.ps	\$fcc2,\$f30,\$f31
   5342 [ 0-9a-f]+:	57fe cb3c 	c\.lt\.ps	\$fcc6,\$f30,\$f31
   5343 [ 0-9a-f]+:	5420 077c 	c\.nge\.d	\$f0,\$f1
   5344 [ 0-9a-f]+:	57fe 077c 	c\.nge\.d	\$f30,\$f31
   5345 [ 0-9a-f]+:	57fe 077c 	c\.nge\.d	\$f30,\$f31
   5346 [ 0-9a-f]+:	57fe 277c 	c\.nge\.d	\$fcc1,\$f30,\$f31
   5347 [ 0-9a-f]+:	57fe e77c 	c\.nge\.d	\$fcc7,\$f30,\$f31
   5348 [ 0-9a-f]+:	5420 037c 	c\.nge\.s	\$f0,\$f1
   5349 [ 0-9a-f]+:	57fe 037c 	c\.nge\.s	\$f30,\$f31
   5350 [ 0-9a-f]+:	57fe 037c 	c\.nge\.s	\$f30,\$f31
   5351 [ 0-9a-f]+:	57fe 237c 	c\.nge\.s	\$fcc1,\$f30,\$f31
   5352 [ 0-9a-f]+:	57fe e37c 	c\.nge\.s	\$fcc7,\$f30,\$f31
   5353 [ 0-9a-f]+:	5420 0b7c 	c\.nge\.ps	\$f0,\$f1
   5354 [ 0-9a-f]+:	57fe 0b7c 	c\.nge\.ps	\$f30,\$f31
   5355 [ 0-9a-f]+:	57fe 0b7c 	c\.nge\.ps	\$f30,\$f31
   5356 [ 0-9a-f]+:	57fe 4b7c 	c\.nge\.ps	\$fcc2,\$f30,\$f31
   5357 [ 0-9a-f]+:	57fe cb7c 	c\.nge\.ps	\$fcc6,\$f30,\$f31
   5358 [ 0-9a-f]+:	5420 07bc 	c\.le\.d	\$f0,\$f1
   5359 [ 0-9a-f]+:	57fe 07bc 	c\.le\.d	\$f30,\$f31
   5360 [ 0-9a-f]+:	57fe 07bc 	c\.le\.d	\$f30,\$f31
   5361 [ 0-9a-f]+:	57fe 27bc 	c\.le\.d	\$fcc1,\$f30,\$f31
   5362 [ 0-9a-f]+:	57fe e7bc 	c\.le\.d	\$fcc7,\$f30,\$f31
   5363 [ 0-9a-f]+:	5420 03bc 	c\.le\.s	\$f0,\$f1
   5364 [ 0-9a-f]+:	57fe 03bc 	c\.le\.s	\$f30,\$f31
   5365 [ 0-9a-f]+:	57fe 03bc 	c\.le\.s	\$f30,\$f31
   5366 [ 0-9a-f]+:	57fe 23bc 	c\.le\.s	\$fcc1,\$f30,\$f31
   5367 [ 0-9a-f]+:	57fe e3bc 	c\.le\.s	\$fcc7,\$f30,\$f31
   5368 [ 0-9a-f]+:	5420 0bbc 	c\.le\.ps	\$f0,\$f1
   5369 [ 0-9a-f]+:	57fe 0bbc 	c\.le\.ps	\$f30,\$f31
   5370 [ 0-9a-f]+:	57fe 0bbc 	c\.le\.ps	\$f30,\$f31
   5371 [ 0-9a-f]+:	57fe 4bbc 	c\.le\.ps	\$fcc2,\$f30,\$f31
   5372 [ 0-9a-f]+:	57fe cbbc 	c\.le\.ps	\$fcc6,\$f30,\$f31
   5373 [ 0-9a-f]+:	5420 07fc 	c\.ngt\.d	\$f0,\$f1
   5374 [ 0-9a-f]+:	57fe 07fc 	c\.ngt\.d	\$f30,\$f31
   5375 [ 0-9a-f]+:	57fe 07fc 	c\.ngt\.d	\$f30,\$f31
   5376 [ 0-9a-f]+:	57fe 27fc 	c\.ngt\.d	\$fcc1,\$f30,\$f31
   5377 [ 0-9a-f]+:	57fe e7fc 	c\.ngt\.d	\$fcc7,\$f30,\$f31
   5378 [ 0-9a-f]+:	5420 03fc 	c\.ngt\.s	\$f0,\$f1
   5379 [ 0-9a-f]+:	57fe 03fc 	c\.ngt\.s	\$f30,\$f31
   5380 [ 0-9a-f]+:	57fe 03fc 	c\.ngt\.s	\$f30,\$f31
   5381 [ 0-9a-f]+:	57fe 23fc 	c\.ngt\.s	\$fcc1,\$f30,\$f31
   5382 [ 0-9a-f]+:	57fe e3fc 	c\.ngt\.s	\$fcc7,\$f30,\$f31
   5383 [ 0-9a-f]+:	5420 0bfc 	c\.ngt\.ps	\$f0,\$f1
   5384 [ 0-9a-f]+:	57fe 0bfc 	c\.ngt\.ps	\$f30,\$f31
   5385 [ 0-9a-f]+:	57fe 0bfc 	c\.ngt\.ps	\$f30,\$f31
   5386 [ 0-9a-f]+:	57fe 4bfc 	c\.ngt\.ps	\$fcc2,\$f30,\$f31
   5387 [ 0-9a-f]+:	57fe cbfc 	c\.ngt\.ps	\$fcc6,\$f30,\$f31
   5388 [ 0-9a-f]+:	5401 533b 	ceil\.l\.d	\$f0,\$f1
   5389 [ 0-9a-f]+:	57df 533b 	ceil\.l\.d	\$f30,\$f31
   5390 [ 0-9a-f]+:	5442 533b 	ceil\.l\.d	\$f2,\$f2
   5391 [ 0-9a-f]+:	5401 133b 	ceil\.l\.s	\$f0,\$f1
   5392 [ 0-9a-f]+:	57df 133b 	ceil\.l\.s	\$f30,\$f31
   5393 [ 0-9a-f]+:	5442 133b 	ceil\.l\.s	\$f2,\$f2
   5394 [ 0-9a-f]+:	5401 5b3b 	ceil\.w\.d	\$f0,\$f1
   5395 [ 0-9a-f]+:	57df 5b3b 	ceil\.w\.d	\$f30,\$f31
   5396 [ 0-9a-f]+:	5442 5b3b 	ceil\.w\.d	\$f2,\$f2
   5397 [ 0-9a-f]+:	5401 1b3b 	ceil\.w\.s	\$f0,\$f1
   5398 [ 0-9a-f]+:	57df 1b3b 	ceil\.w\.s	\$f30,\$f31
   5399 [ 0-9a-f]+:	5442 1b3b 	ceil\.w\.s	\$f2,\$f2
   5400 [ 0-9a-f]+:	54a0 103b 	cfc1	a1,c1_fir
   5401 [ 0-9a-f]+:	54a1 103b 	cfc1	a1,c1_ufr
   5402 [ 0-9a-f]+:	54a2 103b 	cfc1	a1,\$2
   5403 [ 0-9a-f]+:	54a3 103b 	cfc1	a1,\$3
   5404 [ 0-9a-f]+:	54a4 103b 	cfc1	a1,c1_unfr
   5405 [ 0-9a-f]+:	54a5 103b 	cfc1	a1,\$5
   5406 [ 0-9a-f]+:	54a6 103b 	cfc1	a1,\$6
   5407 [ 0-9a-f]+:	54a7 103b 	cfc1	a1,\$7
   5408 [ 0-9a-f]+:	54a8 103b 	cfc1	a1,\$8
   5409 [ 0-9a-f]+:	54a9 103b 	cfc1	a1,\$9
   5410 [ 0-9a-f]+:	54aa 103b 	cfc1	a1,\$10
   5411 [ 0-9a-f]+:	54ab 103b 	cfc1	a1,\$11
   5412 [ 0-9a-f]+:	54ac 103b 	cfc1	a1,\$12
   5413 [ 0-9a-f]+:	54ad 103b 	cfc1	a1,\$13
   5414 [ 0-9a-f]+:	54ae 103b 	cfc1	a1,\$14
   5415 [ 0-9a-f]+:	54af 103b 	cfc1	a1,\$15
   5416 [ 0-9a-f]+:	54b0 103b 	cfc1	a1,\$16
   5417 [ 0-9a-f]+:	54b1 103b 	cfc1	a1,\$17
   5418 [ 0-9a-f]+:	54b2 103b 	cfc1	a1,\$18
   5419 [ 0-9a-f]+:	54b3 103b 	cfc1	a1,\$19
   5420 [ 0-9a-f]+:	54b4 103b 	cfc1	a1,\$20
   5421 [ 0-9a-f]+:	54b5 103b 	cfc1	a1,\$21
   5422 [ 0-9a-f]+:	54b6 103b 	cfc1	a1,\$22
   5423 [ 0-9a-f]+:	54b7 103b 	cfc1	a1,\$23
   5424 [ 0-9a-f]+:	54b8 103b 	cfc1	a1,\$24
   5425 [ 0-9a-f]+:	54b9 103b 	cfc1	a1,c1_fccr
   5426 [ 0-9a-f]+:	54ba 103b 	cfc1	a1,c1_fexr
   5427 [ 0-9a-f]+:	54bb 103b 	cfc1	a1,\$27
   5428 [ 0-9a-f]+:	54bc 103b 	cfc1	a1,c1_fenr
   5429 [ 0-9a-f]+:	54bd 103b 	cfc1	a1,\$29
   5430 [ 0-9a-f]+:	54be 103b 	cfc1	a1,\$30
   5431 [ 0-9a-f]+:	54bf 103b 	cfc1	a1,c1_fcsr
   5432 [ 0-9a-f]+:	54a0 103b 	cfc1	a1,c1_fir
   5433 [ 0-9a-f]+:	54a1 103b 	cfc1	a1,c1_ufr
   5434 [ 0-9a-f]+:	54a2 103b 	cfc1	a1,\$2
   5435 [ 0-9a-f]+:	54a3 103b 	cfc1	a1,\$3
   5436 [ 0-9a-f]+:	54a4 103b 	cfc1	a1,c1_unfr
   5437 [ 0-9a-f]+:	54a5 103b 	cfc1	a1,\$5
   5438 [ 0-9a-f]+:	54a6 103b 	cfc1	a1,\$6
   5439 [ 0-9a-f]+:	54a7 103b 	cfc1	a1,\$7
   5440 [ 0-9a-f]+:	54a8 103b 	cfc1	a1,\$8
   5441 [ 0-9a-f]+:	54a9 103b 	cfc1	a1,\$9
   5442 [ 0-9a-f]+:	54aa 103b 	cfc1	a1,\$10
   5443 [ 0-9a-f]+:	54ab 103b 	cfc1	a1,\$11
   5444 [ 0-9a-f]+:	54ac 103b 	cfc1	a1,\$12
   5445 [ 0-9a-f]+:	54ad 103b 	cfc1	a1,\$13
   5446 [ 0-9a-f]+:	54ae 103b 	cfc1	a1,\$14
   5447 [ 0-9a-f]+:	54af 103b 	cfc1	a1,\$15
   5448 [ 0-9a-f]+:	54b0 103b 	cfc1	a1,\$16
   5449 [ 0-9a-f]+:	54b1 103b 	cfc1	a1,\$17
   5450 [ 0-9a-f]+:	54b2 103b 	cfc1	a1,\$18
   5451 [ 0-9a-f]+:	54b3 103b 	cfc1	a1,\$19
   5452 [ 0-9a-f]+:	54b4 103b 	cfc1	a1,\$20
   5453 [ 0-9a-f]+:	54b5 103b 	cfc1	a1,\$21
   5454 [ 0-9a-f]+:	54b6 103b 	cfc1	a1,\$22
   5455 [ 0-9a-f]+:	54b7 103b 	cfc1	a1,\$23
   5456 [ 0-9a-f]+:	54b8 103b 	cfc1	a1,\$24
   5457 [ 0-9a-f]+:	54b9 103b 	cfc1	a1,c1_fccr
   5458 [ 0-9a-f]+:	54ba 103b 	cfc1	a1,c1_fexr
   5459 [ 0-9a-f]+:	54bb 103b 	cfc1	a1,\$27
   5460 [ 0-9a-f]+:	54bc 103b 	cfc1	a1,c1_fenr
   5461 [ 0-9a-f]+:	54bd 103b 	cfc1	a1,\$29
   5462 [ 0-9a-f]+:	54be 103b 	cfc1	a1,\$30
   5463 [ 0-9a-f]+:	54bf 103b 	cfc1	a1,c1_fcsr
   5464 [ 0-9a-f]+:	00a0 cd3c 	cfc2	a1,\$0
   5465 [ 0-9a-f]+:	00a1 cd3c 	cfc2	a1,\$1
   5466 [ 0-9a-f]+:	00a2 cd3c 	cfc2	a1,\$2
   5467 [ 0-9a-f]+:	00a3 cd3c 	cfc2	a1,\$3
   5468 [ 0-9a-f]+:	00a4 cd3c 	cfc2	a1,\$4
   5469 [ 0-9a-f]+:	00a5 cd3c 	cfc2	a1,\$5
   5470 [ 0-9a-f]+:	00a6 cd3c 	cfc2	a1,\$6
   5471 [ 0-9a-f]+:	00a7 cd3c 	cfc2	a1,\$7
   5472 [ 0-9a-f]+:	00a8 cd3c 	cfc2	a1,\$8
   5473 [ 0-9a-f]+:	00a9 cd3c 	cfc2	a1,\$9
   5474 [ 0-9a-f]+:	00aa cd3c 	cfc2	a1,\$10
   5475 [ 0-9a-f]+:	00ab cd3c 	cfc2	a1,\$11
   5476 [ 0-9a-f]+:	00ac cd3c 	cfc2	a1,\$12
   5477 [ 0-9a-f]+:	00ad cd3c 	cfc2	a1,\$13
   5478 [ 0-9a-f]+:	00ae cd3c 	cfc2	a1,\$14
   5479 [ 0-9a-f]+:	00af cd3c 	cfc2	a1,\$15
   5480 [ 0-9a-f]+:	00b0 cd3c 	cfc2	a1,\$16
   5481 [ 0-9a-f]+:	00b1 cd3c 	cfc2	a1,\$17
   5482 [ 0-9a-f]+:	00b2 cd3c 	cfc2	a1,\$18
   5483 [ 0-9a-f]+:	00b3 cd3c 	cfc2	a1,\$19
   5484 [ 0-9a-f]+:	00b4 cd3c 	cfc2	a1,\$20
   5485 [ 0-9a-f]+:	00b5 cd3c 	cfc2	a1,\$21
   5486 [ 0-9a-f]+:	00b6 cd3c 	cfc2	a1,\$22
   5487 [ 0-9a-f]+:	00b7 cd3c 	cfc2	a1,\$23
   5488 [ 0-9a-f]+:	00b8 cd3c 	cfc2	a1,\$24
   5489 [ 0-9a-f]+:	00b9 cd3c 	cfc2	a1,\$25
   5490 [ 0-9a-f]+:	00ba cd3c 	cfc2	a1,\$26
   5491 [ 0-9a-f]+:	00bb cd3c 	cfc2	a1,\$27
   5492 [ 0-9a-f]+:	00bc cd3c 	cfc2	a1,\$28
   5493 [ 0-9a-f]+:	00bd cd3c 	cfc2	a1,\$29
   5494 [ 0-9a-f]+:	00be cd3c 	cfc2	a1,\$30
   5495 [ 0-9a-f]+:	00bf cd3c 	cfc2	a1,\$31
   5496 [ 0-9a-f]+:	54a0 183b 	ctc1	a1,c1_fir
   5497 [ 0-9a-f]+:	54a1 183b 	ctc1	a1,c1_ufr
   5498 [ 0-9a-f]+:	54a2 183b 	ctc1	a1,\$2
   5499 [ 0-9a-f]+:	54a3 183b 	ctc1	a1,\$3
   5500 [ 0-9a-f]+:	54a4 183b 	ctc1	a1,c1_unfr
   5501 [ 0-9a-f]+:	54a5 183b 	ctc1	a1,\$5
   5502 [ 0-9a-f]+:	54a6 183b 	ctc1	a1,\$6
   5503 [ 0-9a-f]+:	54a7 183b 	ctc1	a1,\$7
   5504 [ 0-9a-f]+:	54a8 183b 	ctc1	a1,\$8
   5505 [ 0-9a-f]+:	54a9 183b 	ctc1	a1,\$9
   5506 [ 0-9a-f]+:	54aa 183b 	ctc1	a1,\$10
   5507 [ 0-9a-f]+:	54ab 183b 	ctc1	a1,\$11
   5508 [ 0-9a-f]+:	54ac 183b 	ctc1	a1,\$12
   5509 [ 0-9a-f]+:	54ad 183b 	ctc1	a1,\$13
   5510 [ 0-9a-f]+:	54ae 183b 	ctc1	a1,\$14
   5511 [ 0-9a-f]+:	54af 183b 	ctc1	a1,\$15
   5512 [ 0-9a-f]+:	54b0 183b 	ctc1	a1,\$16
   5513 [ 0-9a-f]+:	54b1 183b 	ctc1	a1,\$17
   5514 [ 0-9a-f]+:	54b2 183b 	ctc1	a1,\$18
   5515 [ 0-9a-f]+:	54b3 183b 	ctc1	a1,\$19
   5516 [ 0-9a-f]+:	54b4 183b 	ctc1	a1,\$20
   5517 [ 0-9a-f]+:	54b5 183b 	ctc1	a1,\$21
   5518 [ 0-9a-f]+:	54b6 183b 	ctc1	a1,\$22
   5519 [ 0-9a-f]+:	54b7 183b 	ctc1	a1,\$23
   5520 [ 0-9a-f]+:	54b8 183b 	ctc1	a1,\$24
   5521 [ 0-9a-f]+:	54b9 183b 	ctc1	a1,c1_fccr
   5522 [ 0-9a-f]+:	54ba 183b 	ctc1	a1,c1_fexr
   5523 [ 0-9a-f]+:	54bb 183b 	ctc1	a1,\$27
   5524 [ 0-9a-f]+:	54bc 183b 	ctc1	a1,c1_fenr
   5525 [ 0-9a-f]+:	54bd 183b 	ctc1	a1,\$29
   5526 [ 0-9a-f]+:	54be 183b 	ctc1	a1,\$30
   5527 [ 0-9a-f]+:	54bf 183b 	ctc1	a1,c1_fcsr
   5528 [ 0-9a-f]+:	54a0 183b 	ctc1	a1,c1_fir
   5529 [ 0-9a-f]+:	54a1 183b 	ctc1	a1,c1_ufr
   5530 [ 0-9a-f]+:	54a2 183b 	ctc1	a1,\$2
   5531 [ 0-9a-f]+:	54a3 183b 	ctc1	a1,\$3
   5532 [ 0-9a-f]+:	54a4 183b 	ctc1	a1,c1_unfr
   5533 [ 0-9a-f]+:	54a5 183b 	ctc1	a1,\$5
   5534 [ 0-9a-f]+:	54a6 183b 	ctc1	a1,\$6
   5535 [ 0-9a-f]+:	54a7 183b 	ctc1	a1,\$7
   5536 [ 0-9a-f]+:	54a8 183b 	ctc1	a1,\$8
   5537 [ 0-9a-f]+:	54a9 183b 	ctc1	a1,\$9
   5538 [ 0-9a-f]+:	54aa 183b 	ctc1	a1,\$10
   5539 [ 0-9a-f]+:	54ab 183b 	ctc1	a1,\$11
   5540 [ 0-9a-f]+:	54ac 183b 	ctc1	a1,\$12
   5541 [ 0-9a-f]+:	54ad 183b 	ctc1	a1,\$13
   5542 [ 0-9a-f]+:	54ae 183b 	ctc1	a1,\$14
   5543 [ 0-9a-f]+:	54af 183b 	ctc1	a1,\$15
   5544 [ 0-9a-f]+:	54b0 183b 	ctc1	a1,\$16
   5545 [ 0-9a-f]+:	54b1 183b 	ctc1	a1,\$17
   5546 [ 0-9a-f]+:	54b2 183b 	ctc1	a1,\$18
   5547 [ 0-9a-f]+:	54b3 183b 	ctc1	a1,\$19
   5548 [ 0-9a-f]+:	54b4 183b 	ctc1	a1,\$20
   5549 [ 0-9a-f]+:	54b5 183b 	ctc1	a1,\$21
   5550 [ 0-9a-f]+:	54b6 183b 	ctc1	a1,\$22
   5551 [ 0-9a-f]+:	54b7 183b 	ctc1	a1,\$23
   5552 [ 0-9a-f]+:	54b8 183b 	ctc1	a1,\$24
   5553 [ 0-9a-f]+:	54b9 183b 	ctc1	a1,c1_fccr
   5554 [ 0-9a-f]+:	54ba 183b 	ctc1	a1,c1_fexr
   5555 [ 0-9a-f]+:	54bb 183b 	ctc1	a1,\$27
   5556 [ 0-9a-f]+:	54bc 183b 	ctc1	a1,c1_fenr
   5557 [ 0-9a-f]+:	54bd 183b 	ctc1	a1,\$29
   5558 [ 0-9a-f]+:	54be 183b 	ctc1	a1,\$30
   5559 [ 0-9a-f]+:	54bf 183b 	ctc1	a1,c1_fcsr
   5560 [ 0-9a-f]+:	00a0 dd3c 	ctc2	a1,\$0
   5561 [ 0-9a-f]+:	00a1 dd3c 	ctc2	a1,\$1
   5562 [ 0-9a-f]+:	00a2 dd3c 	ctc2	a1,\$2
   5563 [ 0-9a-f]+:	00a3 dd3c 	ctc2	a1,\$3
   5564 [ 0-9a-f]+:	00a4 dd3c 	ctc2	a1,\$4
   5565 [ 0-9a-f]+:	00a5 dd3c 	ctc2	a1,\$5
   5566 [ 0-9a-f]+:	00a6 dd3c 	ctc2	a1,\$6
   5567 [ 0-9a-f]+:	00a7 dd3c 	ctc2	a1,\$7
   5568 [ 0-9a-f]+:	00a8 dd3c 	ctc2	a1,\$8
   5569 [ 0-9a-f]+:	00a9 dd3c 	ctc2	a1,\$9
   5570 [ 0-9a-f]+:	00aa dd3c 	ctc2	a1,\$10
   5571 [ 0-9a-f]+:	00ab dd3c 	ctc2	a1,\$11
   5572 [ 0-9a-f]+:	00ac dd3c 	ctc2	a1,\$12
   5573 [ 0-9a-f]+:	00ad dd3c 	ctc2	a1,\$13
   5574 [ 0-9a-f]+:	00ae dd3c 	ctc2	a1,\$14
   5575 [ 0-9a-f]+:	00af dd3c 	ctc2	a1,\$15
   5576 [ 0-9a-f]+:	00b0 dd3c 	ctc2	a1,\$16
   5577 [ 0-9a-f]+:	00b1 dd3c 	ctc2	a1,\$17
   5578 [ 0-9a-f]+:	00b2 dd3c 	ctc2	a1,\$18
   5579 [ 0-9a-f]+:	00b3 dd3c 	ctc2	a1,\$19
   5580 [ 0-9a-f]+:	00b4 dd3c 	ctc2	a1,\$20
   5581 [ 0-9a-f]+:	00b5 dd3c 	ctc2	a1,\$21
   5582 [ 0-9a-f]+:	00b6 dd3c 	ctc2	a1,\$22
   5583 [ 0-9a-f]+:	00b7 dd3c 	ctc2	a1,\$23
   5584 [ 0-9a-f]+:	00b8 dd3c 	ctc2	a1,\$24
   5585 [ 0-9a-f]+:	00b9 dd3c 	ctc2	a1,\$25
   5586 [ 0-9a-f]+:	00ba dd3c 	ctc2	a1,\$26
   5587 [ 0-9a-f]+:	00bb dd3c 	ctc2	a1,\$27
   5588 [ 0-9a-f]+:	00bc dd3c 	ctc2	a1,\$28
   5589 [ 0-9a-f]+:	00bd dd3c 	ctc2	a1,\$29
   5590 [ 0-9a-f]+:	00be dd3c 	ctc2	a1,\$30
   5591 [ 0-9a-f]+:	00bf dd3c 	ctc2	a1,\$31
   5592 [ 0-9a-f]+:	5401 537b 	cvt\.d\.l	\$f0,\$f1
   5593 [ 0-9a-f]+:	57df 537b 	cvt\.d\.l	\$f30,\$f31
   5594 [ 0-9a-f]+:	5442 537b 	cvt\.d\.l	\$f2,\$f2
   5595 [ 0-9a-f]+:	5401 137b 	cvt\.d\.s	\$f0,\$f1
   5596 [ 0-9a-f]+:	57df 137b 	cvt\.d\.s	\$f30,\$f31
   5597 [ 0-9a-f]+:	5442 137b 	cvt\.d\.s	\$f2,\$f2
   5598 [ 0-9a-f]+:	5401 337b 	cvt\.d\.w	\$f0,\$f1
   5599 [ 0-9a-f]+:	57df 337b 	cvt\.d\.w	\$f30,\$f31
   5600 [ 0-9a-f]+:	5442 337b 	cvt\.d\.w	\$f2,\$f2
   5601 [ 0-9a-f]+:	5401 013b 	cvt\.l\.s	\$f0,\$f1
   5602 [ 0-9a-f]+:	57df 013b 	cvt\.l\.s	\$f30,\$f31
   5603 [ 0-9a-f]+:	5442 013b 	cvt\.l\.s	\$f2,\$f2
   5604 [ 0-9a-f]+:	5401 413b 	cvt\.l\.d	\$f0,\$f1
   5605 [ 0-9a-f]+:	57df 413b 	cvt\.l\.d	\$f30,\$f31
   5606 [ 0-9a-f]+:	5442 413b 	cvt\.l\.d	\$f2,\$f2
   5607 [ 0-9a-f]+:	5401 5b7b 	cvt\.s\.l	\$f0,\$f1
   5608 [ 0-9a-f]+:	57df 5b7b 	cvt\.s\.l	\$f30,\$f31
   5609 [ 0-9a-f]+:	5442 5b7b 	cvt\.s\.l	\$f2,\$f2
   5610 [ 0-9a-f]+:	5401 1b7b 	cvt\.s\.d	\$f0,\$f1
   5611 [ 0-9a-f]+:	57df 1b7b 	cvt\.s\.d	\$f30,\$f31
   5612 [ 0-9a-f]+:	5442 1b7b 	cvt\.s\.d	\$f2,\$f2
   5613 [ 0-9a-f]+:	5401 3b7b 	cvt\.s\.w	\$f0,\$f1
   5614 [ 0-9a-f]+:	57df 3b7b 	cvt\.s\.w	\$f30,\$f31
   5615 [ 0-9a-f]+:	5442 3b7b 	cvt\.s\.w	\$f2,\$f2
   5616 [ 0-9a-f]+:	5401 213b 	cvt\.s\.pl	\$f0,\$f1
   5617 [ 0-9a-f]+:	57df 213b 	cvt\.s\.pl	\$f30,\$f31
   5618 [ 0-9a-f]+:	5442 213b 	cvt\.s\.pl	\$f2,\$f2
   5619 [ 0-9a-f]+:	5401 293b 	cvt\.s\.pu	\$f0,\$f1
   5620 [ 0-9a-f]+:	57df 293b 	cvt\.s\.pu	\$f30,\$f31
   5621 [ 0-9a-f]+:	5442 293b 	cvt\.s\.pu	\$f2,\$f2
   5622 [ 0-9a-f]+:	5401 093b 	cvt\.w\.s	\$f0,\$f1
   5623 [ 0-9a-f]+:	57df 093b 	cvt\.w\.s	\$f30,\$f31
   5624 [ 0-9a-f]+:	5442 093b 	cvt\.w\.s	\$f2,\$f2
   5625 [ 0-9a-f]+:	5401 493b 	cvt\.w\.d	\$f0,\$f1
   5626 [ 0-9a-f]+:	57df 493b 	cvt\.w\.d	\$f30,\$f31
   5627 [ 0-9a-f]+:	5442 493b 	cvt\.w\.d	\$f2,\$f2
   5628 [ 0-9a-f]+:	5441 0180 	cvt\.ps\.s	\$f0,\$f1,\$f2
   5629 [ 0-9a-f]+:	57fe e980 	cvt\.ps\.s	\$f29,\$f30,\$f31
   5630 [ 0-9a-f]+:	57fd e980 	cvt\.ps\.s	\$f29,\$f29,\$f31
   5631 [ 0-9a-f]+:	57fd e980 	cvt\.ps\.s	\$f29,\$f29,\$f31
   5632 [ 0-9a-f]+:	5441 01f0 	div\.d	\$f0,\$f1,\$f2
   5633 [ 0-9a-f]+:	57fe e9f0 	div\.d	\$f29,\$f30,\$f31
   5634 [ 0-9a-f]+:	57dd e9f0 	div\.d	\$f29,\$f29,\$f30
   5635 [ 0-9a-f]+:	57dd e9f0 	div\.d	\$f29,\$f29,\$f30
   5636 [ 0-9a-f]+:	5441 00f0 	div\.s	\$f0,\$f1,\$f2
   5637 [ 0-9a-f]+:	57fe e8f0 	div\.s	\$f29,\$f30,\$f31
   5638 [ 0-9a-f]+:	57dd e8f0 	div\.s	\$f29,\$f29,\$f30
   5639 [ 0-9a-f]+:	57dd e8f0 	div\.s	\$f29,\$f29,\$f30
   5640 [ 0-9a-f]+:	5401 433b 	floor\.l\.d	\$f0,\$f1
   5641 [ 0-9a-f]+:	57df 433b 	floor\.l\.d	\$f30,\$f31
   5642 [ 0-9a-f]+:	5442 433b 	floor\.l\.d	\$f2,\$f2
   5643 [ 0-9a-f]+:	5401 033b 	floor\.l\.s	\$f0,\$f1
   5644 [ 0-9a-f]+:	57df 033b 	floor\.l\.s	\$f30,\$f31
   5645 [ 0-9a-f]+:	5442 033b 	floor\.l\.s	\$f2,\$f2
   5646 [ 0-9a-f]+:	5401 4b3b 	floor\.w\.d	\$f0,\$f1
   5647 [ 0-9a-f]+:	57df 4b3b 	floor\.w\.d	\$f30,\$f31
   5648 [ 0-9a-f]+:	5442 4b3b 	floor\.w\.d	\$f2,\$f2
   5649 [ 0-9a-f]+:	5401 0b3b 	floor\.w\.s	\$f0,\$f1
   5650 [ 0-9a-f]+:	57df 0b3b 	floor\.w\.s	\$f30,\$f31
   5651 [ 0-9a-f]+:	5442 0b3b 	floor\.w\.s	\$f2,\$f2
   5652 [ 0-9a-f]+:	bc60 0000 	ldc1	\$f3,0\(zero\)
   5653 [ 0-9a-f]+:	bc60 0000 	ldc1	\$f3,0\(zero\)
   5654 [ 0-9a-f]+:	bc60 0004 	ldc1	\$f3,4\(zero\)
   5655 [ 0-9a-f]+:	bc60 0004 	ldc1	\$f3,4\(zero\)
   5656 [ 0-9a-f]+:	bc64 0000 	ldc1	\$f3,0\(a0\)
   5657 [ 0-9a-f]+:	bc64 0000 	ldc1	\$f3,0\(a0\)
   5658 [ 0-9a-f]+:	bc64 7fff 	ldc1	\$f3,32767\(a0\)
   5659 [ 0-9a-f]+:	bc64 8000 	ldc1	\$f3,-32768\(a0\)
   5660 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   5661 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   5662 [ 0-9a-f]+:	bc61 ffff 	ldc1	\$f3,-1\(at\)
   5663 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   5664 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   5665 [ 0-9a-f]+:	bc61 0000 	ldc1	\$f3,0\(at\)
   5666 [ 0-9a-f]+:	bc64 8000 	ldc1	\$f3,-32768\(a0\)
   5667 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   5668 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   5669 [ 0-9a-f]+:	bc61 0001 	ldc1	\$f3,1\(at\)
   5670 [ 0-9a-f]+:	bc64 8001 	ldc1	\$f3,-32767\(a0\)
   5671 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   5672 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   5673 [ 0-9a-f]+:	bc61 0000 	ldc1	\$f3,0\(at\)
   5674 [ 0-9a-f]+:	bc64 ffff 	ldc1	\$f3,-1\(a0\)
   5675 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   5676 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   5677 [ 0-9a-f]+:	bc61 5678 	ldc1	\$f3,22136\(at\)
   5678 [ 0-9a-f]+:	bc60 0000 	ldc1	\$f3,0\(zero\)
   5679 [ 0-9a-f]+:	bc60 0000 	ldc1	\$f3,0\(zero\)
   5680 [ 0-9a-f]+:	bc60 0004 	ldc1	\$f3,4\(zero\)
   5681 [ 0-9a-f]+:	bc60 0004 	ldc1	\$f3,4\(zero\)
   5682 [ 0-9a-f]+:	bc64 0000 	ldc1	\$f3,0\(a0\)
   5683 [ 0-9a-f]+:	bc64 0000 	ldc1	\$f3,0\(a0\)
   5684 [ 0-9a-f]+:	bc64 7fff 	ldc1	\$f3,32767\(a0\)
   5685 [ 0-9a-f]+:	bc64 8000 	ldc1	\$f3,-32768\(a0\)
   5686 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   5687 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   5688 [ 0-9a-f]+:	bc61 ffff 	ldc1	\$f3,-1\(at\)
   5689 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   5690 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   5691 [ 0-9a-f]+:	bc61 0000 	ldc1	\$f3,0\(at\)
   5692 [ 0-9a-f]+:	bc64 8000 	ldc1	\$f3,-32768\(a0\)
   5693 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   5694 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   5695 [ 0-9a-f]+:	bc61 0001 	ldc1	\$f3,1\(at\)
   5696 [ 0-9a-f]+:	bc64 8001 	ldc1	\$f3,-32767\(a0\)
   5697 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   5698 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   5699 [ 0-9a-f]+:	bc61 0000 	ldc1	\$f3,0\(at\)
   5700 [ 0-9a-f]+:	bc64 ffff 	ldc1	\$f3,-1\(a0\)
   5701 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   5702 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   5703 [ 0-9a-f]+:	bc61 5678 	ldc1	\$f3,22136\(at\)
   5704 [ 0-9a-f]+:	bc60 0000 	ldc1	\$f3,0\(zero\)
   5705 [ 0-9a-f]+:	bc60 0000 	ldc1	\$f3,0\(zero\)
   5706 [ 0-9a-f]+:	bc60 0004 	ldc1	\$f3,4\(zero\)
   5707 [ 0-9a-f]+:	bc60 0004 	ldc1	\$f3,4\(zero\)
   5708 [ 0-9a-f]+:	bc64 0000 	ldc1	\$f3,0\(a0\)
   5709 [ 0-9a-f]+:	bc64 0000 	ldc1	\$f3,0\(a0\)
   5710 [ 0-9a-f]+:	bc64 7fff 	ldc1	\$f3,32767\(a0\)
   5711 [ 0-9a-f]+:	bc64 8000 	ldc1	\$f3,-32768\(a0\)
   5712 [ 0-9a-f]+:	5400 00c8 	ldxc1	\$f0,zero\(zero\)
   5713 [ 0-9a-f]+:	5402 00c8 	ldxc1	\$f0,zero\(v0\)
   5714 [ 0-9a-f]+:	541f 00c8 	ldxc1	\$f0,zero\(ra\)
   5715 [ 0-9a-f]+:	545f 00c8 	ldxc1	\$f0,v0\(ra\)
   5716 [ 0-9a-f]+:	57ff 00c8 	ldxc1	\$f0,ra\(ra\)
   5717 [ 0-9a-f]+:	57ff 08c8 	ldxc1	\$f1,ra\(ra\)
   5718 [ 0-9a-f]+:	57ff 10c8 	ldxc1	\$f2,ra\(ra\)
   5719 [ 0-9a-f]+:	57ff f8c8 	ldxc1	\$f31,ra\(ra\)
   5720 [ 0-9a-f]+:	5400 0148 	luxc1	\$f0,zero\(zero\)
   5721 [ 0-9a-f]+:	5402 0148 	luxc1	\$f0,zero\(v0\)
   5722 [ 0-9a-f]+:	541f 0148 	luxc1	\$f0,zero\(ra\)
   5723 [ 0-9a-f]+:	545f 0148 	luxc1	\$f0,v0\(ra\)
   5724 [ 0-9a-f]+:	57ff 0148 	luxc1	\$f0,ra\(ra\)
   5725 [ 0-9a-f]+:	57ff 0948 	luxc1	\$f1,ra\(ra\)
   5726 [ 0-9a-f]+:	57ff 1148 	luxc1	\$f2,ra\(ra\)
   5727 [ 0-9a-f]+:	57ff f948 	luxc1	\$f31,ra\(ra\)
   5728 [ 0-9a-f]+:	9c60 0000 	lwc1	\$f3,0\(zero\)
   5729 [ 0-9a-f]+:	9c60 0000 	lwc1	\$f3,0\(zero\)
   5730 [ 0-9a-f]+:	9c60 0004 	lwc1	\$f3,4\(zero\)
   5731 [ 0-9a-f]+:	9c60 0004 	lwc1	\$f3,4\(zero\)
   5732 [ 0-9a-f]+:	9c64 0000 	lwc1	\$f3,0\(a0\)
   5733 [ 0-9a-f]+:	9c64 0000 	lwc1	\$f3,0\(a0\)
   5734 [ 0-9a-f]+:	9c64 7fff 	lwc1	\$f3,32767\(a0\)
   5735 [ 0-9a-f]+:	9c64 8000 	lwc1	\$f3,-32768\(a0\)
   5736 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   5737 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   5738 [ 0-9a-f]+:	9c61 ffff 	lwc1	\$f3,-1\(at\)
   5739 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   5740 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   5741 [ 0-9a-f]+:	9c61 0000 	lwc1	\$f3,0\(at\)
   5742 [ 0-9a-f]+:	9c64 8000 	lwc1	\$f3,-32768\(a0\)
   5743 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   5744 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   5745 [ 0-9a-f]+:	9c61 0001 	lwc1	\$f3,1\(at\)
   5746 [ 0-9a-f]+:	9c64 8001 	lwc1	\$f3,-32767\(a0\)
   5747 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   5748 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   5749 [ 0-9a-f]+:	9c61 0000 	lwc1	\$f3,0\(at\)
   5750 [ 0-9a-f]+:	9c64 ffff 	lwc1	\$f3,-1\(a0\)
   5751 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   5752 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   5753 [ 0-9a-f]+:	9c61 5678 	lwc1	\$f3,22136\(at\)
   5754 [ 0-9a-f]+:	9c60 0000 	lwc1	\$f3,0\(zero\)
   5755 [ 0-9a-f]+:	9c60 0000 	lwc1	\$f3,0\(zero\)
   5756 [ 0-9a-f]+:	9c60 0004 	lwc1	\$f3,4\(zero\)
   5757 [ 0-9a-f]+:	9c60 0004 	lwc1	\$f3,4\(zero\)
   5758 [ 0-9a-f]+:	9c64 0000 	lwc1	\$f3,0\(a0\)
   5759 [ 0-9a-f]+:	9c64 0000 	lwc1	\$f3,0\(a0\)
   5760 [ 0-9a-f]+:	9c64 7fff 	lwc1	\$f3,32767\(a0\)
   5761 [ 0-9a-f]+:	9c64 8000 	lwc1	\$f3,-32768\(a0\)
   5762 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   5763 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   5764 [ 0-9a-f]+:	9c61 ffff 	lwc1	\$f3,-1\(at\)
   5765 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   5766 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   5767 [ 0-9a-f]+:	9c61 0000 	lwc1	\$f3,0\(at\)
   5768 [ 0-9a-f]+:	9c64 8000 	lwc1	\$f3,-32768\(a0\)
   5769 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   5770 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   5771 [ 0-9a-f]+:	9c61 0001 	lwc1	\$f3,1\(at\)
   5772 [ 0-9a-f]+:	9c64 8001 	lwc1	\$f3,-32767\(a0\)
   5773 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   5774 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   5775 [ 0-9a-f]+:	9c61 0000 	lwc1	\$f3,0\(at\)
   5776 [ 0-9a-f]+:	9c64 ffff 	lwc1	\$f3,-1\(a0\)
   5777 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   5778 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   5779 [ 0-9a-f]+:	9c61 5678 	lwc1	\$f3,22136\(at\)
   5780 [ 0-9a-f]+:	9c60 0000 	lwc1	\$f3,0\(zero\)
   5781 [ 0-9a-f]+:	9c60 0000 	lwc1	\$f3,0\(zero\)
   5782 [ 0-9a-f]+:	9c60 0004 	lwc1	\$f3,4\(zero\)
   5783 [ 0-9a-f]+:	9c60 0004 	lwc1	\$f3,4\(zero\)
   5784 [ 0-9a-f]+:	9c64 0000 	lwc1	\$f3,0\(a0\)
   5785 [ 0-9a-f]+:	9c64 0000 	lwc1	\$f3,0\(a0\)
   5786 [ 0-9a-f]+:	9c64 7fff 	lwc1	\$f3,32767\(a0\)
   5787 [ 0-9a-f]+:	9c64 8000 	lwc1	\$f3,-32768\(a0\)
   5788 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   5789 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   5790 [ 0-9a-f]+:	9c61 ffff 	lwc1	\$f3,-1\(at\)
   5791 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   5792 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   5793 [ 0-9a-f]+:	9c61 0000 	lwc1	\$f3,0\(at\)
   5794 [ 0-9a-f]+:	9c64 8000 	lwc1	\$f3,-32768\(a0\)
   5795 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   5796 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   5797 [ 0-9a-f]+:	9c61 0001 	lwc1	\$f3,1\(at\)
   5798 [ 0-9a-f]+:	9c64 8001 	lwc1	\$f3,-32767\(a0\)
   5799 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   5800 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   5801 [ 0-9a-f]+:	9c61 0000 	lwc1	\$f3,0\(at\)
   5802 [ 0-9a-f]+:	9c64 ffff 	lwc1	\$f3,-1\(a0\)
   5803 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   5804 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   5805 [ 0-9a-f]+:	9c61 5678 	lwc1	\$f3,22136\(at\)
   5806 [ 0-9a-f]+:	5400 0048 	lwxc1	\$f0,zero\(zero\)
   5807 [ 0-9a-f]+:	5402 0048 	lwxc1	\$f0,zero\(v0\)
   5808 [ 0-9a-f]+:	541f 0048 	lwxc1	\$f0,zero\(ra\)
   5809 [ 0-9a-f]+:	545f 0048 	lwxc1	\$f0,v0\(ra\)
   5810 [ 0-9a-f]+:	57ff 0048 	lwxc1	\$f0,ra\(ra\)
   5811 [ 0-9a-f]+:	57ff 0848 	lwxc1	\$f1,ra\(ra\)
   5812 [ 0-9a-f]+:	57ff 1048 	lwxc1	\$f2,ra\(ra\)
   5813 [ 0-9a-f]+:	57ff f848 	lwxc1	\$f31,ra\(ra\)
   5814 [ 0-9a-f]+:	5462 0049 	madd\.d	\$f0,\$f1,\$f2,\$f3
   5815 [ 0-9a-f]+:	57fe e749 	madd\.d	\$f28,\$f29,\$f30,\$f31
   5816 [ 0-9a-f]+:	5462 0041 	madd\.s	\$f0,\$f1,\$f2,\$f3
   5817 [ 0-9a-f]+:	57fe e741 	madd\.s	\$f28,\$f29,\$f30,\$f31
   5818 [ 0-9a-f]+:	5462 0051 	madd\.ps	\$f0,\$f1,\$f2,\$f3
   5819 [ 0-9a-f]+:	57fe e751 	madd\.ps	\$f28,\$f29,\$f30,\$f31
   5820 [ 0-9a-f]+:	54a0 203b 	mfc1	a1,\$f0
   5821 [ 0-9a-f]+:	54a1 203b 	mfc1	a1,\$f1
   5822 [ 0-9a-f]+:	54a2 203b 	mfc1	a1,\$f2
   5823 [ 0-9a-f]+:	54a3 203b 	mfc1	a1,\$f3
   5824 [ 0-9a-f]+:	54a4 203b 	mfc1	a1,\$f4
   5825 [ 0-9a-f]+:	54a5 203b 	mfc1	a1,\$f5
   5826 [ 0-9a-f]+:	54a6 203b 	mfc1	a1,\$f6
   5827 [ 0-9a-f]+:	54a7 203b 	mfc1	a1,\$f7
   5828 [ 0-9a-f]+:	54a8 203b 	mfc1	a1,\$f8
   5829 [ 0-9a-f]+:	54a9 203b 	mfc1	a1,\$f9
   5830 [ 0-9a-f]+:	54aa 203b 	mfc1	a1,\$f10
   5831 [ 0-9a-f]+:	54ab 203b 	mfc1	a1,\$f11
   5832 [ 0-9a-f]+:	54ac 203b 	mfc1	a1,\$f12
   5833 [ 0-9a-f]+:	54ad 203b 	mfc1	a1,\$f13
   5834 [ 0-9a-f]+:	54ae 203b 	mfc1	a1,\$f14
   5835 [ 0-9a-f]+:	54af 203b 	mfc1	a1,\$f15
   5836 [ 0-9a-f]+:	54b0 203b 	mfc1	a1,\$f16
   5837 [ 0-9a-f]+:	54b1 203b 	mfc1	a1,\$f17
   5838 [ 0-9a-f]+:	54b2 203b 	mfc1	a1,\$f18
   5839 [ 0-9a-f]+:	54b3 203b 	mfc1	a1,\$f19
   5840 [ 0-9a-f]+:	54b4 203b 	mfc1	a1,\$f20
   5841 [ 0-9a-f]+:	54b5 203b 	mfc1	a1,\$f21
   5842 [ 0-9a-f]+:	54b6 203b 	mfc1	a1,\$f22
   5843 [ 0-9a-f]+:	54b7 203b 	mfc1	a1,\$f23
   5844 [ 0-9a-f]+:	54b8 203b 	mfc1	a1,\$f24
   5845 [ 0-9a-f]+:	54b9 203b 	mfc1	a1,\$f25
   5846 [ 0-9a-f]+:	54ba 203b 	mfc1	a1,\$f26
   5847 [ 0-9a-f]+:	54bb 203b 	mfc1	a1,\$f27
   5848 [ 0-9a-f]+:	54bc 203b 	mfc1	a1,\$f28
   5849 [ 0-9a-f]+:	54bd 203b 	mfc1	a1,\$f29
   5850 [ 0-9a-f]+:	54be 203b 	mfc1	a1,\$f30
   5851 [ 0-9a-f]+:	54bf 203b 	mfc1	a1,\$f31
   5852 [ 0-9a-f]+:	54a0 203b 	mfc1	a1,\$f0
   5853 [ 0-9a-f]+:	54a1 203b 	mfc1	a1,\$f1
   5854 [ 0-9a-f]+:	54a2 203b 	mfc1	a1,\$f2
   5855 [ 0-9a-f]+:	54a3 203b 	mfc1	a1,\$f3
   5856 [ 0-9a-f]+:	54a4 203b 	mfc1	a1,\$f4
   5857 [ 0-9a-f]+:	54a5 203b 	mfc1	a1,\$f5
   5858 [ 0-9a-f]+:	54a6 203b 	mfc1	a1,\$f6
   5859 [ 0-9a-f]+:	54a7 203b 	mfc1	a1,\$f7
   5860 [ 0-9a-f]+:	54a8 203b 	mfc1	a1,\$f8
   5861 [ 0-9a-f]+:	54a9 203b 	mfc1	a1,\$f9
   5862 [ 0-9a-f]+:	54aa 203b 	mfc1	a1,\$f10
   5863 [ 0-9a-f]+:	54ab 203b 	mfc1	a1,\$f11
   5864 [ 0-9a-f]+:	54ac 203b 	mfc1	a1,\$f12
   5865 [ 0-9a-f]+:	54ad 203b 	mfc1	a1,\$f13
   5866 [ 0-9a-f]+:	54ae 203b 	mfc1	a1,\$f14
   5867 [ 0-9a-f]+:	54af 203b 	mfc1	a1,\$f15
   5868 [ 0-9a-f]+:	54b0 203b 	mfc1	a1,\$f16
   5869 [ 0-9a-f]+:	54b1 203b 	mfc1	a1,\$f17
   5870 [ 0-9a-f]+:	54b2 203b 	mfc1	a1,\$f18
   5871 [ 0-9a-f]+:	54b3 203b 	mfc1	a1,\$f19
   5872 [ 0-9a-f]+:	54b4 203b 	mfc1	a1,\$f20
   5873 [ 0-9a-f]+:	54b5 203b 	mfc1	a1,\$f21
   5874 [ 0-9a-f]+:	54b6 203b 	mfc1	a1,\$f22
   5875 [ 0-9a-f]+:	54b7 203b 	mfc1	a1,\$f23
   5876 [ 0-9a-f]+:	54b8 203b 	mfc1	a1,\$f24
   5877 [ 0-9a-f]+:	54b9 203b 	mfc1	a1,\$f25
   5878 [ 0-9a-f]+:	54ba 203b 	mfc1	a1,\$f26
   5879 [ 0-9a-f]+:	54bb 203b 	mfc1	a1,\$f27
   5880 [ 0-9a-f]+:	54bc 203b 	mfc1	a1,\$f28
   5881 [ 0-9a-f]+:	54bd 203b 	mfc1	a1,\$f29
   5882 [ 0-9a-f]+:	54be 203b 	mfc1	a1,\$f30
   5883 [ 0-9a-f]+:	54bf 203b 	mfc1	a1,\$f31
   5884 [ 0-9a-f]+:	54a0 303b 	mfhc1	a1,\$f0
   5885 [ 0-9a-f]+:	54a1 303b 	mfhc1	a1,\$f1
   5886 [ 0-9a-f]+:	54a2 303b 	mfhc1	a1,\$f2
   5887 [ 0-9a-f]+:	54a3 303b 	mfhc1	a1,\$f3
   5888 [ 0-9a-f]+:	54a4 303b 	mfhc1	a1,\$f4
   5889 [ 0-9a-f]+:	54a5 303b 	mfhc1	a1,\$f5
   5890 [ 0-9a-f]+:	54a6 303b 	mfhc1	a1,\$f6
   5891 [ 0-9a-f]+:	54a7 303b 	mfhc1	a1,\$f7
   5892 [ 0-9a-f]+:	54a8 303b 	mfhc1	a1,\$f8
   5893 [ 0-9a-f]+:	54a9 303b 	mfhc1	a1,\$f9
   5894 [ 0-9a-f]+:	54aa 303b 	mfhc1	a1,\$f10
   5895 [ 0-9a-f]+:	54ab 303b 	mfhc1	a1,\$f11
   5896 [ 0-9a-f]+:	54ac 303b 	mfhc1	a1,\$f12
   5897 [ 0-9a-f]+:	54ad 303b 	mfhc1	a1,\$f13
   5898 [ 0-9a-f]+:	54ae 303b 	mfhc1	a1,\$f14
   5899 [ 0-9a-f]+:	54af 303b 	mfhc1	a1,\$f15
   5900 [ 0-9a-f]+:	54b0 303b 	mfhc1	a1,\$f16
   5901 [ 0-9a-f]+:	54b1 303b 	mfhc1	a1,\$f17
   5902 [ 0-9a-f]+:	54b2 303b 	mfhc1	a1,\$f18
   5903 [ 0-9a-f]+:	54b3 303b 	mfhc1	a1,\$f19
   5904 [ 0-9a-f]+:	54b4 303b 	mfhc1	a1,\$f20
   5905 [ 0-9a-f]+:	54b5 303b 	mfhc1	a1,\$f21
   5906 [ 0-9a-f]+:	54b6 303b 	mfhc1	a1,\$f22
   5907 [ 0-9a-f]+:	54b7 303b 	mfhc1	a1,\$f23
   5908 [ 0-9a-f]+:	54b8 303b 	mfhc1	a1,\$f24
   5909 [ 0-9a-f]+:	54b9 303b 	mfhc1	a1,\$f25
   5910 [ 0-9a-f]+:	54ba 303b 	mfhc1	a1,\$f26
   5911 [ 0-9a-f]+:	54bb 303b 	mfhc1	a1,\$f27
   5912 [ 0-9a-f]+:	54bc 303b 	mfhc1	a1,\$f28
   5913 [ 0-9a-f]+:	54bd 303b 	mfhc1	a1,\$f29
   5914 [ 0-9a-f]+:	54be 303b 	mfhc1	a1,\$f30
   5915 [ 0-9a-f]+:	54bf 303b 	mfhc1	a1,\$f31
   5916 [ 0-9a-f]+:	54a0 303b 	mfhc1	a1,\$f0
   5917 [ 0-9a-f]+:	54a1 303b 	mfhc1	a1,\$f1
   5918 [ 0-9a-f]+:	54a2 303b 	mfhc1	a1,\$f2
   5919 [ 0-9a-f]+:	54a3 303b 	mfhc1	a1,\$f3
   5920 [ 0-9a-f]+:	54a4 303b 	mfhc1	a1,\$f4
   5921 [ 0-9a-f]+:	54a5 303b 	mfhc1	a1,\$f5
   5922 [ 0-9a-f]+:	54a6 303b 	mfhc1	a1,\$f6
   5923 [ 0-9a-f]+:	54a7 303b 	mfhc1	a1,\$f7
   5924 [ 0-9a-f]+:	54a8 303b 	mfhc1	a1,\$f8
   5925 [ 0-9a-f]+:	54a9 303b 	mfhc1	a1,\$f9
   5926 [ 0-9a-f]+:	54aa 303b 	mfhc1	a1,\$f10
   5927 [ 0-9a-f]+:	54ab 303b 	mfhc1	a1,\$f11
   5928 [ 0-9a-f]+:	54ac 303b 	mfhc1	a1,\$f12
   5929 [ 0-9a-f]+:	54ad 303b 	mfhc1	a1,\$f13
   5930 [ 0-9a-f]+:	54ae 303b 	mfhc1	a1,\$f14
   5931 [ 0-9a-f]+:	54af 303b 	mfhc1	a1,\$f15
   5932 [ 0-9a-f]+:	54b0 303b 	mfhc1	a1,\$f16
   5933 [ 0-9a-f]+:	54b1 303b 	mfhc1	a1,\$f17
   5934 [ 0-9a-f]+:	54b2 303b 	mfhc1	a1,\$f18
   5935 [ 0-9a-f]+:	54b3 303b 	mfhc1	a1,\$f19
   5936 [ 0-9a-f]+:	54b4 303b 	mfhc1	a1,\$f20
   5937 [ 0-9a-f]+:	54b5 303b 	mfhc1	a1,\$f21
   5938 [ 0-9a-f]+:	54b6 303b 	mfhc1	a1,\$f22
   5939 [ 0-9a-f]+:	54b7 303b 	mfhc1	a1,\$f23
   5940 [ 0-9a-f]+:	54b8 303b 	mfhc1	a1,\$f24
   5941 [ 0-9a-f]+:	54b9 303b 	mfhc1	a1,\$f25
   5942 [ 0-9a-f]+:	54ba 303b 	mfhc1	a1,\$f26
   5943 [ 0-9a-f]+:	54bb 303b 	mfhc1	a1,\$f27
   5944 [ 0-9a-f]+:	54bc 303b 	mfhc1	a1,\$f28
   5945 [ 0-9a-f]+:	54bd 303b 	mfhc1	a1,\$f29
   5946 [ 0-9a-f]+:	54be 303b 	mfhc1	a1,\$f30
   5947 [ 0-9a-f]+:	54bf 303b 	mfhc1	a1,\$f31
   5948 [ 0-9a-f]+:	5401 207b 	mov\.d	\$f0,\$f1
   5949 [ 0-9a-f]+:	57df 207b 	mov\.d	\$f30,\$f31
   5950 [ 0-9a-f]+:	5401 007b 	mov\.s	\$f0,\$f1
   5951 [ 0-9a-f]+:	57df 007b 	mov\.s	\$f30,\$f31
   5952 [ 0-9a-f]+:	5401 407b 	mov\.ps	\$f0,\$f1
   5953 [ 0-9a-f]+:	57df 407b 	mov\.ps	\$f30,\$f31
   5954 [ 0-9a-f]+:	5443 0220 	movf\.d	\$f2,\$f3,\$fcc0
   5955 [ 0-9a-f]+:	5443 2220 	movf\.d	\$f2,\$f3,\$fcc1
   5956 [ 0-9a-f]+:	5443 4220 	movf\.d	\$f2,\$f3,\$fcc2
   5957 [ 0-9a-f]+:	5443 6220 	movf\.d	\$f2,\$f3,\$fcc3
   5958 [ 0-9a-f]+:	5443 8220 	movf\.d	\$f2,\$f3,\$fcc4
   5959 [ 0-9a-f]+:	5443 a220 	movf\.d	\$f2,\$f3,\$fcc5
   5960 [ 0-9a-f]+:	5443 c220 	movf\.d	\$f2,\$f3,\$fcc6
   5961 [ 0-9a-f]+:	5443 e220 	movf\.d	\$f2,\$f3,\$fcc7
   5962 [ 0-9a-f]+:	57df e220 	movf\.d	\$f30,\$f31,\$fcc7
   5963 [ 0-9a-f]+:	5443 0020 	movf\.s	\$f2,\$f3,\$fcc0
   5964 [ 0-9a-f]+:	5443 2020 	movf\.s	\$f2,\$f3,\$fcc1
   5965 [ 0-9a-f]+:	5443 4020 	movf\.s	\$f2,\$f3,\$fcc2
   5966 [ 0-9a-f]+:	5443 6020 	movf\.s	\$f2,\$f3,\$fcc3
   5967 [ 0-9a-f]+:	5443 8020 	movf\.s	\$f2,\$f3,\$fcc4
   5968 [ 0-9a-f]+:	5443 a020 	movf\.s	\$f2,\$f3,\$fcc5
   5969 [ 0-9a-f]+:	5443 c020 	movf\.s	\$f2,\$f3,\$fcc6
   5970 [ 0-9a-f]+:	5443 e020 	movf\.s	\$f2,\$f3,\$fcc7
   5971 [ 0-9a-f]+:	57df e020 	movf\.s	\$f30,\$f31,\$fcc7
   5972 [ 0-9a-f]+:	5443 0420 	movf\.ps	\$f2,\$f3,\$fcc0
   5973 [ 0-9a-f]+:	5443 4420 	movf\.ps	\$f2,\$f3,\$fcc2
   5974 [ 0-9a-f]+:	5443 8420 	movf\.ps	\$f2,\$f3,\$fcc4
   5975 [ 0-9a-f]+:	5443 c420 	movf\.ps	\$f2,\$f3,\$fcc6
   5976 [ 0-9a-f]+:	5443 c420 	movf\.ps	\$f2,\$f3,\$fcc6
   5977 [ 0-9a-f]+:	57df c420 	movf\.ps	\$f30,\$f31,\$fcc6
   5978 [ 0-9a-f]+:	5403 1138 	movn\.d	\$f2,\$f3,zero
   5979 [ 0-9a-f]+:	57e3 1138 	movn\.d	\$f2,\$f3,ra
   5980 [ 0-9a-f]+:	5403 1038 	movn\.s	\$f2,\$f3,zero
   5981 [ 0-9a-f]+:	57e3 1038 	movn\.s	\$f2,\$f3,ra
   5982 [ 0-9a-f]+:	5403 1238 	movn\.ps	\$f2,\$f3,zero
   5983 [ 0-9a-f]+:	57e3 1238 	movn\.ps	\$f2,\$f3,ra
   5984 [ 0-9a-f]+:	5443 0460 	movt\.ps	\$f2,\$f3,\$fcc0
   5985 [ 0-9a-f]+:	5443 4460 	movt\.ps	\$f2,\$f3,\$fcc2
   5986 [ 0-9a-f]+:	5443 8460 	movt\.ps	\$f2,\$f3,\$fcc4
   5987 [ 0-9a-f]+:	5443 c460 	movt\.ps	\$f2,\$f3,\$fcc6
   5988 [ 0-9a-f]+:	5443 c460 	movt\.ps	\$f2,\$f3,\$fcc6
   5989 [ 0-9a-f]+:	57df c460 	movt\.ps	\$f30,\$f31,\$fcc6
   5990 [ 0-9a-f]+:	5403 1178 	movz\.d	\$f2,\$f3,zero
   5991 [ 0-9a-f]+:	57e3 1178 	movz\.d	\$f2,\$f3,ra
   5992 [ 0-9a-f]+:	5403 1078 	movz\.s	\$f2,\$f3,zero
   5993 [ 0-9a-f]+:	57e3 1078 	movz\.s	\$f2,\$f3,ra
   5994 [ 0-9a-f]+:	5403 1278 	movz\.ps	\$f2,\$f3,zero
   5995 [ 0-9a-f]+:	57e3 1278 	movz\.ps	\$f2,\$f3,ra
   5996 [ 0-9a-f]+:	5462 0069 	msub\.d	\$f0,\$f1,\$f2,\$f3
   5997 [ 0-9a-f]+:	57fe e769 	msub\.d	\$f28,\$f29,\$f30,\$f31
   5998 [ 0-9a-f]+:	5462 0061 	msub\.s	\$f0,\$f1,\$f2,\$f3
   5999 [ 0-9a-f]+:	57fe e761 	msub\.s	\$f28,\$f29,\$f30,\$f31
   6000 [ 0-9a-f]+:	5462 0071 	msub\.ps	\$f0,\$f1,\$f2,\$f3
   6001 [ 0-9a-f]+:	57fe e771 	msub\.ps	\$f28,\$f29,\$f30,\$f31
   6002 [ 0-9a-f]+:	54a0 283b 	mtc1	a1,\$f0
   6003 [ 0-9a-f]+:	54a1 283b 	mtc1	a1,\$f1
   6004 [ 0-9a-f]+:	54a2 283b 	mtc1	a1,\$f2
   6005 [ 0-9a-f]+:	54a3 283b 	mtc1	a1,\$f3
   6006 [ 0-9a-f]+:	54a4 283b 	mtc1	a1,\$f4
   6007 [ 0-9a-f]+:	54a5 283b 	mtc1	a1,\$f5
   6008 [ 0-9a-f]+:	54a6 283b 	mtc1	a1,\$f6
   6009 [ 0-9a-f]+:	54a7 283b 	mtc1	a1,\$f7
   6010 [ 0-9a-f]+:	54a8 283b 	mtc1	a1,\$f8
   6011 [ 0-9a-f]+:	54a9 283b 	mtc1	a1,\$f9
   6012 [ 0-9a-f]+:	54aa 283b 	mtc1	a1,\$f10
   6013 [ 0-9a-f]+:	54ab 283b 	mtc1	a1,\$f11
   6014 [ 0-9a-f]+:	54ac 283b 	mtc1	a1,\$f12
   6015 [ 0-9a-f]+:	54ad 283b 	mtc1	a1,\$f13
   6016 [ 0-9a-f]+:	54ae 283b 	mtc1	a1,\$f14
   6017 [ 0-9a-f]+:	54af 283b 	mtc1	a1,\$f15
   6018 [ 0-9a-f]+:	54b0 283b 	mtc1	a1,\$f16
   6019 [ 0-9a-f]+:	54b1 283b 	mtc1	a1,\$f17
   6020 [ 0-9a-f]+:	54b2 283b 	mtc1	a1,\$f18
   6021 [ 0-9a-f]+:	54b3 283b 	mtc1	a1,\$f19
   6022 [ 0-9a-f]+:	54b4 283b 	mtc1	a1,\$f20
   6023 [ 0-9a-f]+:	54b5 283b 	mtc1	a1,\$f21
   6024 [ 0-9a-f]+:	54b6 283b 	mtc1	a1,\$f22
   6025 [ 0-9a-f]+:	54b7 283b 	mtc1	a1,\$f23
   6026 [ 0-9a-f]+:	54b8 283b 	mtc1	a1,\$f24
   6027 [ 0-9a-f]+:	54b9 283b 	mtc1	a1,\$f25
   6028 [ 0-9a-f]+:	54ba 283b 	mtc1	a1,\$f26
   6029 [ 0-9a-f]+:	54bb 283b 	mtc1	a1,\$f27
   6030 [ 0-9a-f]+:	54bc 283b 	mtc1	a1,\$f28
   6031 [ 0-9a-f]+:	54bd 283b 	mtc1	a1,\$f29
   6032 [ 0-9a-f]+:	54be 283b 	mtc1	a1,\$f30
   6033 [ 0-9a-f]+:	54bf 283b 	mtc1	a1,\$f31
   6034 [ 0-9a-f]+:	54a0 283b 	mtc1	a1,\$f0
   6035 [ 0-9a-f]+:	54a1 283b 	mtc1	a1,\$f1
   6036 [ 0-9a-f]+:	54a2 283b 	mtc1	a1,\$f2
   6037 [ 0-9a-f]+:	54a3 283b 	mtc1	a1,\$f3
   6038 [ 0-9a-f]+:	54a4 283b 	mtc1	a1,\$f4
   6039 [ 0-9a-f]+:	54a5 283b 	mtc1	a1,\$f5
   6040 [ 0-9a-f]+:	54a6 283b 	mtc1	a1,\$f6
   6041 [ 0-9a-f]+:	54a7 283b 	mtc1	a1,\$f7
   6042 [ 0-9a-f]+:	54a8 283b 	mtc1	a1,\$f8
   6043 [ 0-9a-f]+:	54a9 283b 	mtc1	a1,\$f9
   6044 [ 0-9a-f]+:	54aa 283b 	mtc1	a1,\$f10
   6045 [ 0-9a-f]+:	54ab 283b 	mtc1	a1,\$f11
   6046 [ 0-9a-f]+:	54ac 283b 	mtc1	a1,\$f12
   6047 [ 0-9a-f]+:	54ad 283b 	mtc1	a1,\$f13
   6048 [ 0-9a-f]+:	54ae 283b 	mtc1	a1,\$f14
   6049 [ 0-9a-f]+:	54af 283b 	mtc1	a1,\$f15
   6050 [ 0-9a-f]+:	54b0 283b 	mtc1	a1,\$f16
   6051 [ 0-9a-f]+:	54b1 283b 	mtc1	a1,\$f17
   6052 [ 0-9a-f]+:	54b2 283b 	mtc1	a1,\$f18
   6053 [ 0-9a-f]+:	54b3 283b 	mtc1	a1,\$f19
   6054 [ 0-9a-f]+:	54b4 283b 	mtc1	a1,\$f20
   6055 [ 0-9a-f]+:	54b5 283b 	mtc1	a1,\$f21
   6056 [ 0-9a-f]+:	54b6 283b 	mtc1	a1,\$f22
   6057 [ 0-9a-f]+:	54b7 283b 	mtc1	a1,\$f23
   6058 [ 0-9a-f]+:	54b8 283b 	mtc1	a1,\$f24
   6059 [ 0-9a-f]+:	54b9 283b 	mtc1	a1,\$f25
   6060 [ 0-9a-f]+:	54ba 283b 	mtc1	a1,\$f26
   6061 [ 0-9a-f]+:	54bb 283b 	mtc1	a1,\$f27
   6062 [ 0-9a-f]+:	54bc 283b 	mtc1	a1,\$f28
   6063 [ 0-9a-f]+:	54bd 283b 	mtc1	a1,\$f29
   6064 [ 0-9a-f]+:	54be 283b 	mtc1	a1,\$f30
   6065 [ 0-9a-f]+:	54bf 283b 	mtc1	a1,\$f31
   6066 [ 0-9a-f]+:	54a0 383b 	mthc1	a1,\$f0
   6067 [ 0-9a-f]+:	54a1 383b 	mthc1	a1,\$f1
   6068 [ 0-9a-f]+:	54a2 383b 	mthc1	a1,\$f2
   6069 [ 0-9a-f]+:	54a3 383b 	mthc1	a1,\$f3
   6070 [ 0-9a-f]+:	54a4 383b 	mthc1	a1,\$f4
   6071 [ 0-9a-f]+:	54a5 383b 	mthc1	a1,\$f5
   6072 [ 0-9a-f]+:	54a6 383b 	mthc1	a1,\$f6
   6073 [ 0-9a-f]+:	54a7 383b 	mthc1	a1,\$f7
   6074 [ 0-9a-f]+:	54a8 383b 	mthc1	a1,\$f8
   6075 [ 0-9a-f]+:	54a9 383b 	mthc1	a1,\$f9
   6076 [ 0-9a-f]+:	54aa 383b 	mthc1	a1,\$f10
   6077 [ 0-9a-f]+:	54ab 383b 	mthc1	a1,\$f11
   6078 [ 0-9a-f]+:	54ac 383b 	mthc1	a1,\$f12
   6079 [ 0-9a-f]+:	54ad 383b 	mthc1	a1,\$f13
   6080 [ 0-9a-f]+:	54ae 383b 	mthc1	a1,\$f14
   6081 [ 0-9a-f]+:	54af 383b 	mthc1	a1,\$f15
   6082 [ 0-9a-f]+:	54b0 383b 	mthc1	a1,\$f16
   6083 [ 0-9a-f]+:	54b1 383b 	mthc1	a1,\$f17
   6084 [ 0-9a-f]+:	54b2 383b 	mthc1	a1,\$f18
   6085 [ 0-9a-f]+:	54b3 383b 	mthc1	a1,\$f19
   6086 [ 0-9a-f]+:	54b4 383b 	mthc1	a1,\$f20
   6087 [ 0-9a-f]+:	54b5 383b 	mthc1	a1,\$f21
   6088 [ 0-9a-f]+:	54b6 383b 	mthc1	a1,\$f22
   6089 [ 0-9a-f]+:	54b7 383b 	mthc1	a1,\$f23
   6090 [ 0-9a-f]+:	54b8 383b 	mthc1	a1,\$f24
   6091 [ 0-9a-f]+:	54b9 383b 	mthc1	a1,\$f25
   6092 [ 0-9a-f]+:	54ba 383b 	mthc1	a1,\$f26
   6093 [ 0-9a-f]+:	54bb 383b 	mthc1	a1,\$f27
   6094 [ 0-9a-f]+:	54bc 383b 	mthc1	a1,\$f28
   6095 [ 0-9a-f]+:	54bd 383b 	mthc1	a1,\$f29
   6096 [ 0-9a-f]+:	54be 383b 	mthc1	a1,\$f30
   6097 [ 0-9a-f]+:	54bf 383b 	mthc1	a1,\$f31
   6098 [ 0-9a-f]+:	54a0 383b 	mthc1	a1,\$f0
   6099 [ 0-9a-f]+:	54a1 383b 	mthc1	a1,\$f1
   6100 [ 0-9a-f]+:	54a2 383b 	mthc1	a1,\$f2
   6101 [ 0-9a-f]+:	54a3 383b 	mthc1	a1,\$f3
   6102 [ 0-9a-f]+:	54a4 383b 	mthc1	a1,\$f4
   6103 [ 0-9a-f]+:	54a5 383b 	mthc1	a1,\$f5
   6104 [ 0-9a-f]+:	54a6 383b 	mthc1	a1,\$f6
   6105 [ 0-9a-f]+:	54a7 383b 	mthc1	a1,\$f7
   6106 [ 0-9a-f]+:	54a8 383b 	mthc1	a1,\$f8
   6107 [ 0-9a-f]+:	54a9 383b 	mthc1	a1,\$f9
   6108 [ 0-9a-f]+:	54aa 383b 	mthc1	a1,\$f10
   6109 [ 0-9a-f]+:	54ab 383b 	mthc1	a1,\$f11
   6110 [ 0-9a-f]+:	54ac 383b 	mthc1	a1,\$f12
   6111 [ 0-9a-f]+:	54ad 383b 	mthc1	a1,\$f13
   6112 [ 0-9a-f]+:	54ae 383b 	mthc1	a1,\$f14
   6113 [ 0-9a-f]+:	54af 383b 	mthc1	a1,\$f15
   6114 [ 0-9a-f]+:	54b0 383b 	mthc1	a1,\$f16
   6115 [ 0-9a-f]+:	54b1 383b 	mthc1	a1,\$f17
   6116 [ 0-9a-f]+:	54b2 383b 	mthc1	a1,\$f18
   6117 [ 0-9a-f]+:	54b3 383b 	mthc1	a1,\$f19
   6118 [ 0-9a-f]+:	54b4 383b 	mthc1	a1,\$f20
   6119 [ 0-9a-f]+:	54b5 383b 	mthc1	a1,\$f21
   6120 [ 0-9a-f]+:	54b6 383b 	mthc1	a1,\$f22
   6121 [ 0-9a-f]+:	54b7 383b 	mthc1	a1,\$f23
   6122 [ 0-9a-f]+:	54b8 383b 	mthc1	a1,\$f24
   6123 [ 0-9a-f]+:	54b9 383b 	mthc1	a1,\$f25
   6124 [ 0-9a-f]+:	54ba 383b 	mthc1	a1,\$f26
   6125 [ 0-9a-f]+:	54bb 383b 	mthc1	a1,\$f27
   6126 [ 0-9a-f]+:	54bc 383b 	mthc1	a1,\$f28
   6127 [ 0-9a-f]+:	54bd 383b 	mthc1	a1,\$f29
   6128 [ 0-9a-f]+:	54be 383b 	mthc1	a1,\$f30
   6129 [ 0-9a-f]+:	54bf 383b 	mthc1	a1,\$f31
   6130 [ 0-9a-f]+:	5441 00b0 	mul\.s	\$f0,\$f1,\$f2
   6131 [ 0-9a-f]+:	57fe e8b0 	mul\.s	\$f29,\$f30,\$f31
   6132 [ 0-9a-f]+:	57dd e8b0 	mul\.s	\$f29,\$f29,\$f30
   6133 [ 0-9a-f]+:	57dd e8b0 	mul\.s	\$f29,\$f29,\$f30
   6134 [ 0-9a-f]+:	5441 01b0 	mul\.d	\$f0,\$f1,\$f2
   6135 [ 0-9a-f]+:	57fe e9b0 	mul\.d	\$f29,\$f30,\$f31
   6136 [ 0-9a-f]+:	57dd e9b0 	mul\.d	\$f29,\$f29,\$f30
   6137 [ 0-9a-f]+:	57dd e9b0 	mul\.d	\$f29,\$f29,\$f30
   6138 [ 0-9a-f]+:	5441 02b0 	mul\.ps	\$f0,\$f1,\$f2
   6139 [ 0-9a-f]+:	57fe eab0 	mul\.ps	\$f29,\$f30,\$f31
   6140 [ 0-9a-f]+:	57dd eab0 	mul\.ps	\$f29,\$f29,\$f30
   6141 [ 0-9a-f]+:	57dd eab0 	mul\.ps	\$f29,\$f29,\$f30
   6142 [ 0-9a-f]+:	5401 0b7b 	neg\.s	\$f0,\$f1
   6143 [ 0-9a-f]+:	57df 0b7b 	neg\.s	\$f30,\$f31
   6144 [ 0-9a-f]+:	5442 0b7b 	neg\.s	\$f2,\$f2
   6145 [ 0-9a-f]+:	5442 0b7b 	neg\.s	\$f2,\$f2
   6146 [ 0-9a-f]+:	5401 2b7b 	neg\.d	\$f0,\$f1
   6147 [ 0-9a-f]+:	57df 2b7b 	neg\.d	\$f30,\$f31
   6148 [ 0-9a-f]+:	5442 2b7b 	neg\.d	\$f2,\$f2
   6149 [ 0-9a-f]+:	5442 2b7b 	neg\.d	\$f2,\$f2
   6150 [ 0-9a-f]+:	5401 4b7b 	neg\.ps	\$f0,\$f1
   6151 [ 0-9a-f]+:	57df 4b7b 	neg\.ps	\$f30,\$f31
   6152 [ 0-9a-f]+:	5442 4b7b 	neg\.ps	\$f2,\$f2
   6153 [ 0-9a-f]+:	5442 4b7b 	neg\.ps	\$f2,\$f2
   6154 [ 0-9a-f]+:	5462 004a 	nmadd\.d	\$f0,\$f1,\$f2,\$f3
   6155 [ 0-9a-f]+:	57fe e74a 	nmadd\.d	\$f28,\$f29,\$f30,\$f31
   6156 [ 0-9a-f]+:	5462 0042 	nmadd\.s	\$f0,\$f1,\$f2,\$f3
   6157 [ 0-9a-f]+:	57fe e742 	nmadd\.s	\$f28,\$f29,\$f30,\$f31
   6158 [ 0-9a-f]+:	5462 0052 	nmadd\.ps	\$f0,\$f1,\$f2,\$f3
   6159 [ 0-9a-f]+:	57fe e752 	nmadd\.ps	\$f28,\$f29,\$f30,\$f31
   6160 [ 0-9a-f]+:	5462 006a 	nmsub\.d	\$f0,\$f1,\$f2,\$f3
   6161 [ 0-9a-f]+:	57fe e76a 	nmsub\.d	\$f28,\$f29,\$f30,\$f31
   6162 [ 0-9a-f]+:	5462 0062 	nmsub\.s	\$f0,\$f1,\$f2,\$f3
   6163 [ 0-9a-f]+:	57fe e762 	nmsub\.s	\$f28,\$f29,\$f30,\$f31
   6164 [ 0-9a-f]+:	5462 0072 	nmsub\.ps	\$f0,\$f1,\$f2,\$f3
   6165 [ 0-9a-f]+:	57fe e772 	nmsub\.ps	\$f28,\$f29,\$f30,\$f31
   6166 [ 0-9a-f]+:	5441 0080 	pll\.ps	\$f0,\$f1,\$f2
   6167 [ 0-9a-f]+:	57fe e880 	pll\.ps	\$f29,\$f30,\$f31
   6168 [ 0-9a-f]+:	57dd e880 	pll\.ps	\$f29,\$f29,\$f30
   6169 [ 0-9a-f]+:	57dd e880 	pll\.ps	\$f29,\$f29,\$f30
   6170 [ 0-9a-f]+:	5441 00c0 	plu\.ps	\$f0,\$f1,\$f2
   6171 [ 0-9a-f]+:	57fe e8c0 	plu\.ps	\$f29,\$f30,\$f31
   6172 [ 0-9a-f]+:	57dd e8c0 	plu\.ps	\$f29,\$f29,\$f30
   6173 [ 0-9a-f]+:	57dd e8c0 	plu\.ps	\$f29,\$f29,\$f30
   6174 [ 0-9a-f]+:	5441 0100 	pul\.ps	\$f0,\$f1,\$f2
   6175 [ 0-9a-f]+:	57fe e900 	pul\.ps	\$f29,\$f30,\$f31
   6176 [ 0-9a-f]+:	57dd e900 	pul\.ps	\$f29,\$f29,\$f30
   6177 [ 0-9a-f]+:	57dd e900 	pul\.ps	\$f29,\$f29,\$f30
   6178 [ 0-9a-f]+:	5441 0140 	puu\.ps	\$f0,\$f1,\$f2
   6179 [ 0-9a-f]+:	57fe e940 	puu\.ps	\$f29,\$f30,\$f31
   6180 [ 0-9a-f]+:	57dd e940 	puu\.ps	\$f29,\$f29,\$f30
   6181 [ 0-9a-f]+:	57dd e940 	puu\.ps	\$f29,\$f29,\$f30
   6182 [ 0-9a-f]+:	5401 123b 	recip\.s	\$f0,\$f1
   6183 [ 0-9a-f]+:	57df 123b 	recip\.s	\$f30,\$f31
   6184 [ 0-9a-f]+:	5442 123b 	recip\.s	\$f2,\$f2
   6185 [ 0-9a-f]+:	5401 523b 	recip\.d	\$f0,\$f1
   6186 [ 0-9a-f]+:	57df 523b 	recip\.d	\$f30,\$f31
   6187 [ 0-9a-f]+:	5442 523b 	recip\.d	\$f2,\$f2
   6188 [ 0-9a-f]+:	5401 333b 	round\.l\.s	\$f0,\$f1
   6189 [ 0-9a-f]+:	57df 333b 	round\.l\.s	\$f30,\$f31
   6190 [ 0-9a-f]+:	5442 333b 	round\.l\.s	\$f2,\$f2
   6191 [ 0-9a-f]+:	5401 733b 	round\.l\.d	\$f0,\$f1
   6192 [ 0-9a-f]+:	57df 733b 	round\.l\.d	\$f30,\$f31
   6193 [ 0-9a-f]+:	5442 733b 	round\.l\.d	\$f2,\$f2
   6194 [ 0-9a-f]+:	5401 3b3b 	round\.w\.s	\$f0,\$f1
   6195 [ 0-9a-f]+:	57df 3b3b 	round\.w\.s	\$f30,\$f31
   6196 [ 0-9a-f]+:	5442 3b3b 	round\.w\.s	\$f2,\$f2
   6197 [ 0-9a-f]+:	5401 7b3b 	round\.w\.d	\$f0,\$f1
   6198 [ 0-9a-f]+:	57df 7b3b 	round\.w\.d	\$f30,\$f31
   6199 [ 0-9a-f]+:	5442 7b3b 	round\.w\.d	\$f2,\$f2
   6200 [ 0-9a-f]+:	5401 023b 	rsqrt\.s	\$f0,\$f1
   6201 [ 0-9a-f]+:	57df 023b 	rsqrt\.s	\$f30,\$f31
   6202 [ 0-9a-f]+:	5442 023b 	rsqrt\.s	\$f2,\$f2
   6203 [ 0-9a-f]+:	5401 423b 	rsqrt\.d	\$f0,\$f1
   6204 [ 0-9a-f]+:	57df 423b 	rsqrt\.d	\$f30,\$f31
   6205 [ 0-9a-f]+:	5442 423b 	rsqrt\.d	\$f2,\$f2
   6206 [ 0-9a-f]+:	b860 0000 	sdc1	\$f3,0\(zero\)
   6207 [ 0-9a-f]+:	b860 0000 	sdc1	\$f3,0\(zero\)
   6208 [ 0-9a-f]+:	b860 0004 	sdc1	\$f3,4\(zero\)
   6209 [ 0-9a-f]+:	b860 0004 	sdc1	\$f3,4\(zero\)
   6210 [ 0-9a-f]+:	b864 0000 	sdc1	\$f3,0\(a0\)
   6211 [ 0-9a-f]+:	b864 0000 	sdc1	\$f3,0\(a0\)
   6212 [ 0-9a-f]+:	b864 7fff 	sdc1	\$f3,32767\(a0\)
   6213 [ 0-9a-f]+:	b864 8000 	sdc1	\$f3,-32768\(a0\)
   6214 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   6215 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   6216 [ 0-9a-f]+:	b861 ffff 	sdc1	\$f3,-1\(at\)
   6217 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   6218 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   6219 [ 0-9a-f]+:	b861 0000 	sdc1	\$f3,0\(at\)
   6220 [ 0-9a-f]+:	b864 8000 	sdc1	\$f3,-32768\(a0\)
   6221 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   6222 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   6223 [ 0-9a-f]+:	b861 0001 	sdc1	\$f3,1\(at\)
   6224 [ 0-9a-f]+:	b864 8001 	sdc1	\$f3,-32767\(a0\)
   6225 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   6226 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   6227 [ 0-9a-f]+:	b861 0000 	sdc1	\$f3,0\(at\)
   6228 [ 0-9a-f]+:	b864 ffff 	sdc1	\$f3,-1\(a0\)
   6229 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   6230 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   6231 [ 0-9a-f]+:	b861 5678 	sdc1	\$f3,22136\(at\)
   6232 [ 0-9a-f]+:	b860 0000 	sdc1	\$f3,0\(zero\)
   6233 [ 0-9a-f]+:	b860 0000 	sdc1	\$f3,0\(zero\)
   6234 [ 0-9a-f]+:	b860 0004 	sdc1	\$f3,4\(zero\)
   6235 [ 0-9a-f]+:	b860 0004 	sdc1	\$f3,4\(zero\)
   6236 [ 0-9a-f]+:	b864 0000 	sdc1	\$f3,0\(a0\)
   6237 [ 0-9a-f]+:	b864 0000 	sdc1	\$f3,0\(a0\)
   6238 [ 0-9a-f]+:	b864 7fff 	sdc1	\$f3,32767\(a0\)
   6239 [ 0-9a-f]+:	b864 8000 	sdc1	\$f3,-32768\(a0\)
   6240 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   6241 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   6242 [ 0-9a-f]+:	b861 ffff 	sdc1	\$f3,-1\(at\)
   6243 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   6244 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   6245 [ 0-9a-f]+:	b861 0000 	sdc1	\$f3,0\(at\)
   6246 [ 0-9a-f]+:	b864 8000 	sdc1	\$f3,-32768\(a0\)
   6247 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   6248 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   6249 [ 0-9a-f]+:	b861 0001 	sdc1	\$f3,1\(at\)
   6250 [ 0-9a-f]+:	b864 8001 	sdc1	\$f3,-32767\(a0\)
   6251 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   6252 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   6253 [ 0-9a-f]+:	b861 0000 	sdc1	\$f3,0\(at\)
   6254 [ 0-9a-f]+:	b864 ffff 	sdc1	\$f3,-1\(a0\)
   6255 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   6256 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   6257 [ 0-9a-f]+:	b861 5678 	sdc1	\$f3,22136\(at\)
   6258 [ 0-9a-f]+:	b860 0000 	sdc1	\$f3,0\(zero\)
   6259 [ 0-9a-f]+:	b860 0000 	sdc1	\$f3,0\(zero\)
   6260 [ 0-9a-f]+:	b860 0004 	sdc1	\$f3,4\(zero\)
   6261 [ 0-9a-f]+:	b860 0004 	sdc1	\$f3,4\(zero\)
   6262 [ 0-9a-f]+:	b864 0000 	sdc1	\$f3,0\(a0\)
   6263 [ 0-9a-f]+:	b864 0000 	sdc1	\$f3,0\(a0\)
   6264 [ 0-9a-f]+:	b864 7fff 	sdc1	\$f3,32767\(a0\)
   6265 [ 0-9a-f]+:	b864 8000 	sdc1	\$f3,-32768\(a0\)
   6266 [ 0-9a-f]+:	5400 0108 	sdxc1	\$f0,zero\(zero\)
   6267 [ 0-9a-f]+:	5402 0108 	sdxc1	\$f0,zero\(v0\)
   6268 [ 0-9a-f]+:	541f 0108 	sdxc1	\$f0,zero\(ra\)
   6269 [ 0-9a-f]+:	545f 0108 	sdxc1	\$f0,v0\(ra\)
   6270 [ 0-9a-f]+:	57ff 0108 	sdxc1	\$f0,ra\(ra\)
   6271 [ 0-9a-f]+:	57ff 0908 	sdxc1	\$f1,ra\(ra\)
   6272 [ 0-9a-f]+:	57ff 1108 	sdxc1	\$f2,ra\(ra\)
   6273 [ 0-9a-f]+:	57ff f908 	sdxc1	\$f31,ra\(ra\)
   6274 [ 0-9a-f]+:	5401 0a3b 	sqrt\.s	\$f0,\$f1
   6275 [ 0-9a-f]+:	57df 0a3b 	sqrt\.s	\$f30,\$f31
   6276 [ 0-9a-f]+:	5442 0a3b 	sqrt\.s	\$f2,\$f2
   6277 [ 0-9a-f]+:	5401 4a3b 	sqrt\.d	\$f0,\$f1
   6278 [ 0-9a-f]+:	57df 4a3b 	sqrt\.d	\$f30,\$f31
   6279 [ 0-9a-f]+:	5442 4a3b 	sqrt\.d	\$f2,\$f2
   6280 [ 0-9a-f]+:	5441 0070 	sub\.s	\$f0,\$f1,\$f2
   6281 [ 0-9a-f]+:	57fe e870 	sub\.s	\$f29,\$f30,\$f31
   6282 [ 0-9a-f]+:	57dd e870 	sub\.s	\$f29,\$f29,\$f30
   6283 [ 0-9a-f]+:	57dd e870 	sub\.s	\$f29,\$f29,\$f30
   6284 [ 0-9a-f]+:	5441 0170 	sub\.d	\$f0,\$f1,\$f2
   6285 [ 0-9a-f]+:	57fe e970 	sub\.d	\$f29,\$f30,\$f31
   6286 [ 0-9a-f]+:	57dd e970 	sub\.d	\$f29,\$f29,\$f30
   6287 [ 0-9a-f]+:	57dd e970 	sub\.d	\$f29,\$f29,\$f30
   6288 [ 0-9a-f]+:	5441 0270 	sub\.ps	\$f0,\$f1,\$f2
   6289 [ 0-9a-f]+:	57fe ea70 	sub\.ps	\$f29,\$f30,\$f31
   6290 [ 0-9a-f]+:	57dd ea70 	sub\.ps	\$f29,\$f29,\$f30
   6291 [ 0-9a-f]+:	57dd ea70 	sub\.ps	\$f29,\$f29,\$f30
   6292 [ 0-9a-f]+:	5400 0188 	suxc1	\$f0,zero\(zero\)
   6293 [ 0-9a-f]+:	5402 0188 	suxc1	\$f0,zero\(v0\)
   6294 [ 0-9a-f]+:	541f 0188 	suxc1	\$f0,zero\(ra\)
   6295 [ 0-9a-f]+:	545f 0188 	suxc1	\$f0,v0\(ra\)
   6296 [ 0-9a-f]+:	57ff 0188 	suxc1	\$f0,ra\(ra\)
   6297 [ 0-9a-f]+:	57ff 0988 	suxc1	\$f1,ra\(ra\)
   6298 [ 0-9a-f]+:	57ff 1188 	suxc1	\$f2,ra\(ra\)
   6299 [ 0-9a-f]+:	57ff f988 	suxc1	\$f31,ra\(ra\)
   6300 [ 0-9a-f]+:	9860 0000 	swc1	\$f3,0\(zero\)
   6301 [ 0-9a-f]+:	9860 0000 	swc1	\$f3,0\(zero\)
   6302 [ 0-9a-f]+:	9860 0004 	swc1	\$f3,4\(zero\)
   6303 [ 0-9a-f]+:	9860 0004 	swc1	\$f3,4\(zero\)
   6304 [ 0-9a-f]+:	9864 0000 	swc1	\$f3,0\(a0\)
   6305 [ 0-9a-f]+:	9864 0000 	swc1	\$f3,0\(a0\)
   6306 [ 0-9a-f]+:	9864 7fff 	swc1	\$f3,32767\(a0\)
   6307 [ 0-9a-f]+:	9864 8000 	swc1	\$f3,-32768\(a0\)
   6308 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   6309 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   6310 [ 0-9a-f]+:	9861 ffff 	swc1	\$f3,-1\(at\)
   6311 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   6312 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   6313 [ 0-9a-f]+:	9861 0000 	swc1	\$f3,0\(at\)
   6314 [ 0-9a-f]+:	9864 8000 	swc1	\$f3,-32768\(a0\)
   6315 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   6316 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   6317 [ 0-9a-f]+:	9861 0001 	swc1	\$f3,1\(at\)
   6318 [ 0-9a-f]+:	9864 8001 	swc1	\$f3,-32767\(a0\)
   6319 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   6320 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   6321 [ 0-9a-f]+:	9861 0000 	swc1	\$f3,0\(at\)
   6322 [ 0-9a-f]+:	9864 ffff 	swc1	\$f3,-1\(a0\)
   6323 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   6324 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   6325 [ 0-9a-f]+:	9861 5678 	swc1	\$f3,22136\(at\)
   6326 [ 0-9a-f]+:	9860 0000 	swc1	\$f3,0\(zero\)
   6327 [ 0-9a-f]+:	9860 0000 	swc1	\$f3,0\(zero\)
   6328 [ 0-9a-f]+:	9860 0004 	swc1	\$f3,4\(zero\)
   6329 [ 0-9a-f]+:	9860 0004 	swc1	\$f3,4\(zero\)
   6330 [ 0-9a-f]+:	9864 0000 	swc1	\$f3,0\(a0\)
   6331 [ 0-9a-f]+:	9864 0000 	swc1	\$f3,0\(a0\)
   6332 [ 0-9a-f]+:	9864 7fff 	swc1	\$f3,32767\(a0\)
   6333 [ 0-9a-f]+:	9864 8000 	swc1	\$f3,-32768\(a0\)
   6334 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   6335 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   6336 [ 0-9a-f]+:	9861 ffff 	swc1	\$f3,-1\(at\)
   6337 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   6338 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   6339 [ 0-9a-f]+:	9861 0000 	swc1	\$f3,0\(at\)
   6340 [ 0-9a-f]+:	9864 8000 	swc1	\$f3,-32768\(a0\)
   6341 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   6342 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   6343 [ 0-9a-f]+:	9861 0001 	swc1	\$f3,1\(at\)
   6344 [ 0-9a-f]+:	9864 8001 	swc1	\$f3,-32767\(a0\)
   6345 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   6346 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   6347 [ 0-9a-f]+:	9861 0000 	swc1	\$f3,0\(at\)
   6348 [ 0-9a-f]+:	9864 ffff 	swc1	\$f3,-1\(a0\)
   6349 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   6350 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   6351 [ 0-9a-f]+:	9861 5678 	swc1	\$f3,22136\(at\)
   6352 [ 0-9a-f]+:	9860 0000 	swc1	\$f3,0\(zero\)
   6353 [ 0-9a-f]+:	9860 0000 	swc1	\$f3,0\(zero\)
   6354 [ 0-9a-f]+:	9860 0004 	swc1	\$f3,4\(zero\)
   6355 [ 0-9a-f]+:	9860 0004 	swc1	\$f3,4\(zero\)
   6356 [ 0-9a-f]+:	9864 0000 	swc1	\$f3,0\(a0\)
   6357 [ 0-9a-f]+:	9864 0000 	swc1	\$f3,0\(a0\)
   6358 [ 0-9a-f]+:	9864 7fff 	swc1	\$f3,32767\(a0\)
   6359 [ 0-9a-f]+:	9864 8000 	swc1	\$f3,-32768\(a0\)
   6360 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   6361 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   6362 [ 0-9a-f]+:	9861 ffff 	swc1	\$f3,-1\(at\)
   6363 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   6364 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   6365 [ 0-9a-f]+:	9861 0000 	swc1	\$f3,0\(at\)
   6366 [ 0-9a-f]+:	9864 8000 	swc1	\$f3,-32768\(a0\)
   6367 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   6368 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   6369 [ 0-9a-f]+:	9861 0001 	swc1	\$f3,1\(at\)
   6370 [ 0-9a-f]+:	9864 8001 	swc1	\$f3,-32767\(a0\)
   6371 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   6372 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   6373 [ 0-9a-f]+:	9861 0000 	swc1	\$f3,0\(at\)
   6374 [ 0-9a-f]+:	9864 ffff 	swc1	\$f3,-1\(a0\)
   6375 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   6376 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   6377 [ 0-9a-f]+:	9861 5678 	swc1	\$f3,22136\(at\)
   6378 [ 0-9a-f]+:	5400 0088 	swxc1	\$f0,zero\(zero\)
   6379 [ 0-9a-f]+:	5402 0088 	swxc1	\$f0,zero\(v0\)
   6380 [ 0-9a-f]+:	541f 0088 	swxc1	\$f0,zero\(ra\)
   6381 [ 0-9a-f]+:	545f 0088 	swxc1	\$f0,v0\(ra\)
   6382 [ 0-9a-f]+:	57ff 0088 	swxc1	\$f0,ra\(ra\)
   6383 [ 0-9a-f]+:	57ff 0888 	swxc1	\$f1,ra\(ra\)
   6384 [ 0-9a-f]+:	57ff 1088 	swxc1	\$f2,ra\(ra\)
   6385 [ 0-9a-f]+:	57ff f888 	swxc1	\$f31,ra\(ra\)
   6386 [ 0-9a-f]+:	5401 233b 	trunc\.l\.s	\$f0,\$f1
   6387 [ 0-9a-f]+:	57df 233b 	trunc\.l\.s	\$f30,\$f31
   6388 [ 0-9a-f]+:	5442 233b 	trunc\.l\.s	\$f2,\$f2
   6389 [ 0-9a-f]+:	5401 633b 	trunc\.l\.d	\$f0,\$f1
   6390 [ 0-9a-f]+:	57df 633b 	trunc\.l\.d	\$f30,\$f31
   6391 [ 0-9a-f]+:	5442 633b 	trunc\.l\.d	\$f2,\$f2
   6392 [ 0-9a-f]+:	5401 2b3b 	trunc\.w\.s	\$f0,\$f1
   6393 [ 0-9a-f]+:	57df 2b3b 	trunc\.w\.s	\$f30,\$f31
   6394 [ 0-9a-f]+:	5442 2b3b 	trunc\.w\.s	\$f2,\$f2
   6395 [ 0-9a-f]+:	5401 6b3b 	trunc\.w\.d	\$f0,\$f1
   6396 [ 0-9a-f]+:	57df 6b3b 	trunc\.w\.d	\$f30,\$f31
   6397 [ 0-9a-f]+:	5442 6b3b 	trunc\.w\.d	\$f2,\$f2
   6398 [ 0-9a-f]+:	5443 017b 	movf	v0,v1,\$fcc0
   6399 [ 0-9a-f]+:	57df 017b 	movf	s8,ra,\$fcc0
   6400 [ 0-9a-f]+:	57df 217b 	movf	s8,ra,\$fcc1
   6401 [ 0-9a-f]+:	57df 417b 	movf	s8,ra,\$fcc2
   6402 [ 0-9a-f]+:	57df 617b 	movf	s8,ra,\$fcc3
   6403 [ 0-9a-f]+:	57df 817b 	movf	s8,ra,\$fcc4
   6404 [ 0-9a-f]+:	57df a17b 	movf	s8,ra,\$fcc5
   6405 [ 0-9a-f]+:	57df c17b 	movf	s8,ra,\$fcc6
   6406 [ 0-9a-f]+:	57df e17b 	movf	s8,ra,\$fcc7
   6407 [ 0-9a-f]+:	5443 097b 	movt	v0,v1,\$fcc0
   6408 [ 0-9a-f]+:	57df 097b 	movt	s8,ra,\$fcc0
   6409 [ 0-9a-f]+:	57df 297b 	movt	s8,ra,\$fcc1
   6410 [ 0-9a-f]+:	57df 497b 	movt	s8,ra,\$fcc2
   6411 [ 0-9a-f]+:	57df 697b 	movt	s8,ra,\$fcc3
   6412 [ 0-9a-f]+:	57df 897b 	movt	s8,ra,\$fcc4
   6413 [ 0-9a-f]+:	57df a97b 	movt	s8,ra,\$fcc5
   6414 [ 0-9a-f]+:	57df c97b 	movt	s8,ra,\$fcc6
   6415 [ 0-9a-f]+:	57df e97b 	movt	s8,ra,\$fcc7
   6416 [ 0-9a-f]+:	43a4 fffe 	bc1t	\$fcc1,[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
   6417 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   6418 [ 0-9a-f]+:	0c00      	nop
   6419 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <fp_test\+0x[0-9a-f]+>
   6420 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   6421 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   6422 
   6423 [0-9a-f]+ <.*>:
   6424 [ 0-9a-f]+:	4388 fffe 	bc1f	\$fcc2,[0-9a-f]+ <.*>
   6425 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   6426 [ 0-9a-f]+:	0c00      	nop
   6427 [ 0-9a-f]+:	9400 fffe 	b	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   6428 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   6429 [ 0-9a-f]+:	0107 3150 	addu	a2,a3,t0
   6430 
   6431 [0-9a-f]+ <.*>:
   6432 [ 0-9a-f]+:	438c fffe 	bc1f	\$fcc3,[0-9a-f]+ <.*>
   6433 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   6434 [ 0-9a-f]+:	0c00      	nop
   6435 [ 0-9a-f]+:	05d8      	addu	v1,a0,a1
   6436 [ 0-9a-f]+:	43b0 fffe 	bc1t	\$fcc4,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   6437 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test
   6438 [ 0-9a-f]+:	0c00      	nop
   6439 [ 0-9a-f]+:	0107 3150 	addu	a2,a3,t0
   6440 
   6441 [0-9a-f]+ <test_mips64>:
   6442 [ 0-9a-f]+:	4043 fffe 	bgez	v1,[0-9a-f]+ <test_mips64>
   6443 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   6444 [ 0-9a-f]+:	0c43      	move	v0,v1
   6445 [ 0-9a-f]+:	5860 1190 	dneg	v0,v1
   6446 
   6447 [0-9a-f]+ <.*>:
   6448 [ 0-9a-f]+:	4042 fffe 	bgez	v0,[0-9a-f]+ <.*>
   6449 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   6450 [ 0-9a-f]+:	0c00      	nop
   6451 [ 0-9a-f]+:	5840 1190 	dneg	v0,v0
   6452 
   6453 [0-9a-f]+ <.*>:
   6454 [ 0-9a-f]+:	4042 fffe 	bgez	v0,[0-9a-f]+ <.*>
   6455 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   6456 [ 0-9a-f]+:	0c00      	nop
   6457 [ 0-9a-f]+:	5840 1190 	dneg	v0,v0
   6458 
   6459 [0-9a-f]+ <.*>:
   6460 [ 0-9a-f]+:	5883 1110 	dadd	v0,v1,a0
   6461 [ 0-9a-f]+:	5bfe e910 	dadd	sp,s8,ra
   6462 [ 0-9a-f]+:	5862 1110 	dadd	v0,v0,v1
   6463 [ 0-9a-f]+:	5862 1110 	dadd	v0,v0,v1
   6464 [ 0-9a-f]+:	5843 001c 	daddi	v0,v1,0
   6465 [ 0-9a-f]+:	5843 005c 	daddi	v0,v1,1
   6466 [ 0-9a-f]+:	5843 801c 	daddi	v0,v1,-512
   6467 [ 0-9a-f]+:	5843 7fdc 	daddi	v0,v1,511
   6468 [ 0-9a-f]+:	3020 7fff 	li	at,32767
   6469 [ 0-9a-f]+:	5823 1110 	dadd	v0,v1,at
   6470 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   6471 [ 0-9a-f]+:	5823 1110 	dadd	v0,v1,at
   6472 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
   6473 [ 0-9a-f]+:	5823 1110 	dadd	v0,v1,at
   6474 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   6475 [ 0-9a-f]+:	5021 5678 	ori	at,at,0x5678
   6476 [ 0-9a-f]+:	5823 1110 	dadd	v0,v1,at
   6477 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   6478 [ 0-9a-f]+:	5021 5678 	ori	at,at,0x5678
   6479 [ 0-9a-f]+:	5821 8000 	dsll	at,at,0x10
   6480 [ 0-9a-f]+:	5021 8765 	ori	at,at,0x8765
   6481 [ 0-9a-f]+:	5821 8000 	dsll	at,at,0x10
   6482 [ 0-9a-f]+:	5021 4321 	ori	at,at,0x4321
   6483 [ 0-9a-f]+:	5823 1110 	dadd	v0,v1,at
   6484 [ 0-9a-f]+:	5843 001c 	daddi	v0,v1,0
   6485 [ 0-9a-f]+:	5843 005c 	daddi	v0,v1,1
   6486 [ 0-9a-f]+:	5843 801c 	daddi	v0,v1,-512
   6487 [ 0-9a-f]+:	5843 7fdc 	daddi	v0,v1,511
   6488 [ 0-9a-f]+:	5842 7fdc 	daddi	v0,v0,511
   6489 [ 0-9a-f]+:	5842 7fdc 	daddi	v0,v0,511
   6490 [ 0-9a-f]+:	3020 7fff 	li	at,32767
   6491 [ 0-9a-f]+:	5823 1110 	dadd	v0,v1,at
   6492 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   6493 [ 0-9a-f]+:	5823 1110 	dadd	v0,v1,at
   6494 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
   6495 [ 0-9a-f]+:	5823 1110 	dadd	v0,v1,at
   6496 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   6497 [ 0-9a-f]+:	5021 5678 	ori	at,at,0x5678
   6498 [ 0-9a-f]+:	5823 1110 	dadd	v0,v1,at
   6499 [ 0-9a-f]+:	5c43 0000 	daddiu	v0,v1,0
   6500 [ 0-9a-f]+:	5c43 8000 	daddiu	v0,v1,-32768
   6501 [ 0-9a-f]+:	5c43 7fff 	daddiu	v0,v1,32767
   6502 [ 0-9a-f]+:	5c42 7fff 	daddiu	v0,v0,32767
   6503 [ 0-9a-f]+:	5c42 7fff 	daddiu	v0,v0,32767
   6504 [ 0-9a-f]+:	5883 1150 	daddu	v0,v1,a0
   6505 [ 0-9a-f]+:	5bfe e950 	daddu	sp,s8,ra
   6506 [ 0-9a-f]+:	5862 1150 	daddu	v0,v0,v1
   6507 [ 0-9a-f]+:	5862 1150 	daddu	v0,v0,v1
   6508 [ 0-9a-f]+:	5803 1150 	move	v0,v1
   6509 [ 0-9a-f]+:	5c43 0000 	daddiu	v0,v1,0
   6510 [ 0-9a-f]+:	5c43 0001 	daddiu	v0,v1,1
   6511 [ 0-9a-f]+:	5c43 7fff 	daddiu	v0,v1,32767
   6512 [ 0-9a-f]+:	5c43 8000 	daddiu	v0,v1,-32768
   6513 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
   6514 [ 0-9a-f]+:	5823 1150 	daddu	v0,v1,at
   6515 [ 0-9a-f]+:	5843 4b3c 	dclo	v0,v1
   6516 [ 0-9a-f]+:	5862 4b3c 	dclo	v1,v0
   6517 [ 0-9a-f]+:	5843 5b3c 	dclz	v0,v1
   6518 [ 0-9a-f]+:	5862 5b3c 	dclz	v1,v0
   6519 [ 0-9a-f]+:	5862 ab3c 	ddiv	zero,v0,v1
   6520 [ 0-9a-f]+:	5bfe ab3c 	ddiv	zero,s8,ra
   6521 [ 0-9a-f]+:	5860 ab3c 	ddiv	zero,zero,v1
   6522 [ 0-9a-f]+:	5be0 ab3c 	ddiv	zero,zero,ra
   6523 [ 0-9a-f]+:	0000 703c 	teq	zero,zero,0x7
   6524 [ 0-9a-f]+:	0004 703c 	teq	a0,zero,0x7
   6525 [ 0-9a-f]+:	5883 ab3c 	ddiv	zero,v1,a0
   6526 [ 0-9a-f]+:	3020 ffff 	li	at,-1
   6527 [ 0-9a-f]+:	b424 fffe 	bne	a0,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   6528 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   6529 [ 0-9a-f]+:	3020 0001 	li	at,1
   6530 [ 0-9a-f]+:	5821 f808 	dsll32	at,at,0x1f
   6531 [ 0-9a-f]+:	0023 603c 	teq	v1,at,0x6
   6532 
   6533 [0-9a-f]+ <.*>:
   6534 [ 0-9a-f]+:	4642      	mflo	v0
   6535 [ 0-9a-f]+:	0000 703c 	teq	zero,zero,0x7
   6536 [ 0-9a-f]+:	0c64      	move	v1,a0
   6537 [ 0-9a-f]+:	5880 1990 	dneg	v1,a0
   6538 [ 0-9a-f]+:	3020 0002 	li	at,2
   6539 [ 0-9a-f]+:	5824 ab3c 	ddiv	zero,a0,at
   6540 [ 0-9a-f]+:	4643      	mflo	v1
   6541 [ 0-9a-f]+:	5862 bb3c 	ddivu	zero,v0,v1
   6542 [ 0-9a-f]+:	5bfe bb3c 	ddivu	zero,s8,ra
   6543 [ 0-9a-f]+:	5860 bb3c 	ddivu	zero,zero,v1
   6544 [ 0-9a-f]+:	5be0 bb3c 	ddivu	zero,zero,ra
   6545 [ 0-9a-f]+:	0000 703c 	teq	zero,zero,0x7
   6546 [ 0-9a-f]+:	5803 bb3c 	ddivu	zero,v1,zero
   6547 [ 0-9a-f]+:	4642      	mflo	v0
   6548 [ 0-9a-f]+:	0004 703c 	teq	a0,zero,0x7
   6549 [ 0-9a-f]+:	5883 bb3c 	ddivu	zero,v1,a0
   6550 [ 0-9a-f]+:	4642      	mflo	v0
   6551 [ 0-9a-f]+:	0000 703c 	teq	zero,zero,0x7
   6552 [ 0-9a-f]+:	0c64      	move	v1,a0
   6553 [ 0-9a-f]+:	3020 ffff 	li	at,-1
   6554 [ 0-9a-f]+:	5824 bb3c 	ddivu	zero,a0,at
   6555 [ 0-9a-f]+:	4643      	mflo	v1
   6556 [ 0-9a-f]+:	3020 0002 	li	at,2
   6557 [ 0-9a-f]+:	5824 bb3c 	ddivu	zero,a0,at
   6558 [ 0-9a-f]+:	4643      	mflo	v1
   6559 [ 0-9a-f]+:	5843 07ec 	dext	v0,v1,0x1f,0x1
   6560 [ 0-9a-f]+:	5843 f82c 	dext	v0,v1,0x0,0x20
   6561 [ 0-9a-f]+:	5843 07e4 	dext	v0,v1,0x1f,0x21
   6562 [ 0-9a-f]+:	5843 07e4 	dext	v0,v1,0x1f,0x21
   6563 [ 0-9a-f]+:	5843 4854 	dext	v0,v1,0x21,0xa
   6564 [ 0-9a-f]+:	5843 4854 	dext	v0,v1,0x21,0xa
   6565 [ 0-9a-f]+:	5843 ffcc 	dins	v0,v1,0x1f,0x1
   6566 [ 0-9a-f]+:	5843 f80c 	dins	v0,v1,0x0,0x20
   6567 [ 0-9a-f]+:	5843 ffc4 	dins	v0,v1,0x1f,0x21
   6568 [ 0-9a-f]+:	5843 ffc4 	dins	v0,v1,0x1f,0x21
   6569 [ 0-9a-f]+:	5843 5074 	dins	v0,v1,0x21,0xa
   6570 [ 0-9a-f]+:	5843 5074 	dins	v0,v1,0x21,0xa
   6571 [ 0-9a-f]+:	41a2 0000 	lui	v0,0x0
   6572 [ 	]*[0-9a-f]+: R_MICROMIPS_HI16	test
   6573 [ 0-9a-f]+:	3042 0000 	addiu	v0,v0,0
   6574 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   6575 [ 0-9a-f]+:	41a2 0000 	lui	v0,0x0
   6576 [ 	]*[0-9a-f]+: R_MICROMIPS_HI16	test
   6577 [ 0-9a-f]+:	3042 0000 	addiu	v0,v0,0
   6578 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   6579 [ 0-9a-f]+:	3040 8000 	li	v0,-32768
   6580 [ 0-9a-f]+:	3040 7fff 	li	v0,32767
   6581 [ 0-9a-f]+:	5040 ffff 	li	v0,0xffff
   6582 [ 0-9a-f]+:	41a2 1234 	lui	v0,0x1234
   6583 [ 0-9a-f]+:	5042 5678 	ori	v0,v0,0x5678
   6584 [ 0-9a-f]+:	5840 00fc 	dmfc0	v0,c0_index
   6585 [ 0-9a-f]+:	5841 00fc 	dmfc0	v0,c0_random
   6586 [ 0-9a-f]+:	5842 00fc 	dmfc0	v0,c0_entrylo0
   6587 [ 0-9a-f]+:	5843 00fc 	dmfc0	v0,c0_entrylo1
   6588 [ 0-9a-f]+:	5844 00fc 	dmfc0	v0,c0_context
   6589 [ 0-9a-f]+:	5845 00fc 	dmfc0	v0,c0_pagemask
   6590 [ 0-9a-f]+:	5846 00fc 	dmfc0	v0,c0_wired
   6591 [ 0-9a-f]+:	5847 00fc 	dmfc0	v0,c0_hwrena
   6592 [ 0-9a-f]+:	5848 00fc 	dmfc0	v0,c0_badvaddr
   6593 [ 0-9a-f]+:	5849 00fc 	dmfc0	v0,c0_count
   6594 [ 0-9a-f]+:	584a 00fc 	dmfc0	v0,c0_entryhi
   6595 [ 0-9a-f]+:	584b 00fc 	dmfc0	v0,c0_compare
   6596 [ 0-9a-f]+:	584c 00fc 	dmfc0	v0,c0_status
   6597 [ 0-9a-f]+:	584d 00fc 	dmfc0	v0,c0_cause
   6598 [ 0-9a-f]+:	584e 00fc 	dmfc0	v0,c0_epc
   6599 [ 0-9a-f]+:	584f 00fc 	dmfc0	v0,c0_prid
   6600 [ 0-9a-f]+:	5850 00fc 	dmfc0	v0,c0_config
   6601 [ 0-9a-f]+:	5851 00fc 	dmfc0	v0,c0_lladdr
   6602 [ 0-9a-f]+:	5852 00fc 	dmfc0	v0,c0_watchlo
   6603 [ 0-9a-f]+:	5853 00fc 	dmfc0	v0,c0_watchhi
   6604 [ 0-9a-f]+:	5854 00fc 	dmfc0	v0,c0_xcontext
   6605 [ 0-9a-f]+:	5855 00fc 	dmfc0	v0,\$21
   6606 [ 0-9a-f]+:	5856 00fc 	dmfc0	v0,\$22
   6607 [ 0-9a-f]+:	5857 00fc 	dmfc0	v0,c0_debug
   6608 [ 0-9a-f]+:	5858 00fc 	dmfc0	v0,c0_depc
   6609 [ 0-9a-f]+:	5859 00fc 	dmfc0	v0,c0_perfcnt
   6610 [ 0-9a-f]+:	585a 00fc 	dmfc0	v0,c0_errctl
   6611 [ 0-9a-f]+:	585b 00fc 	dmfc0	v0,c0_cacheerr
   6612 [ 0-9a-f]+:	585c 00fc 	dmfc0	v0,c0_taglo
   6613 [ 0-9a-f]+:	585d 00fc 	dmfc0	v0,c0_taghi
   6614 [ 0-9a-f]+:	585e 00fc 	dmfc0	v0,c0_errorepc
   6615 [ 0-9a-f]+:	585f 00fc 	dmfc0	v0,c0_desave
   6616 [ 0-9a-f]+:	5840 00fc 	dmfc0	v0,c0_index
   6617 [ 0-9a-f]+:	5840 08fc 	dmfc0	v0,c0_mvpcontrol
   6618 [ 0-9a-f]+:	5840 10fc 	dmfc0	v0,c0_mvpconf0
   6619 [ 0-9a-f]+:	5840 18fc 	dmfc0	v0,c0_mvpconf1
   6620 [ 0-9a-f]+:	5840 20fc 	dmfc0	v0,\$0,4
   6621 [ 0-9a-f]+:	5840 28fc 	dmfc0	v0,\$0,5
   6622 [ 0-9a-f]+:	5840 30fc 	dmfc0	v0,\$0,6
   6623 [ 0-9a-f]+:	5840 38fc 	dmfc0	v0,\$0,7
   6624 [ 0-9a-f]+:	5841 00fc 	dmfc0	v0,c0_random
   6625 [ 0-9a-f]+:	5841 08fc 	dmfc0	v0,c0_vpecontrol
   6626 [ 0-9a-f]+:	5841 10fc 	dmfc0	v0,c0_vpeconf0
   6627 [ 0-9a-f]+:	5841 18fc 	dmfc0	v0,c0_vpeconf1
   6628 [ 0-9a-f]+:	5841 20fc 	dmfc0	v0,c0_yqmask
   6629 [ 0-9a-f]+:	5841 28fc 	dmfc0	v0,c0_vpeschedule
   6630 [ 0-9a-f]+:	5841 30fc 	dmfc0	v0,c0_vpeschefback
   6631 [ 0-9a-f]+:	5841 38fc 	dmfc0	v0,\$1,7
   6632 [ 0-9a-f]+:	5842 00fc 	dmfc0	v0,c0_entrylo0
   6633 [ 0-9a-f]+:	5842 08fc 	dmfc0	v0,c0_tcstatus
   6634 [ 0-9a-f]+:	5842 10fc 	dmfc0	v0,c0_tcbind
   6635 [ 0-9a-f]+:	5842 18fc 	dmfc0	v0,c0_tcrestart
   6636 [ 0-9a-f]+:	5842 20fc 	dmfc0	v0,c0_tchalt
   6637 [ 0-9a-f]+:	5842 28fc 	dmfc0	v0,c0_tccontext
   6638 [ 0-9a-f]+:	5842 30fc 	dmfc0	v0,c0_tcschedule
   6639 [ 0-9a-f]+:	5842 38fc 	dmfc0	v0,c0_tcschefback
   6640 [ 0-9a-f]+:	5840 02fc 	dmtc0	v0,c0_index
   6641 [ 0-9a-f]+:	5841 02fc 	dmtc0	v0,c0_random
   6642 [ 0-9a-f]+:	5842 02fc 	dmtc0	v0,c0_entrylo0
   6643 [ 0-9a-f]+:	5843 02fc 	dmtc0	v0,c0_entrylo1
   6644 [ 0-9a-f]+:	5844 02fc 	dmtc0	v0,c0_context
   6645 [ 0-9a-f]+:	5845 02fc 	dmtc0	v0,c0_pagemask
   6646 [ 0-9a-f]+:	5846 02fc 	dmtc0	v0,c0_wired
   6647 [ 0-9a-f]+:	5847 02fc 	dmtc0	v0,c0_hwrena
   6648 [ 0-9a-f]+:	5848 02fc 	dmtc0	v0,c0_badvaddr
   6649 [ 0-9a-f]+:	5849 02fc 	dmtc0	v0,c0_count
   6650 [ 0-9a-f]+:	584a 02fc 	dmtc0	v0,c0_entryhi
   6651 [ 0-9a-f]+:	584b 02fc 	dmtc0	v0,c0_compare
   6652 [ 0-9a-f]+:	584c 02fc 	dmtc0	v0,c0_status
   6653 [ 0-9a-f]+:	584d 02fc 	dmtc0	v0,c0_cause
   6654 [ 0-9a-f]+:	584e 02fc 	dmtc0	v0,c0_epc
   6655 [ 0-9a-f]+:	584f 02fc 	dmtc0	v0,c0_prid
   6656 [ 0-9a-f]+:	5850 02fc 	dmtc0	v0,c0_config
   6657 [ 0-9a-f]+:	5851 02fc 	dmtc0	v0,c0_lladdr
   6658 [ 0-9a-f]+:	5852 02fc 	dmtc0	v0,c0_watchlo
   6659 [ 0-9a-f]+:	5853 02fc 	dmtc0	v0,c0_watchhi
   6660 [ 0-9a-f]+:	5854 02fc 	dmtc0	v0,c0_xcontext
   6661 [ 0-9a-f]+:	5855 02fc 	dmtc0	v0,\$21
   6662 [ 0-9a-f]+:	5856 02fc 	dmtc0	v0,\$22
   6663 [ 0-9a-f]+:	5857 02fc 	dmtc0	v0,c0_debug
   6664 [ 0-9a-f]+:	5858 02fc 	dmtc0	v0,c0_depc
   6665 [ 0-9a-f]+:	5859 02fc 	dmtc0	v0,c0_perfcnt
   6666 [ 0-9a-f]+:	585a 02fc 	dmtc0	v0,c0_errctl
   6667 [ 0-9a-f]+:	585b 02fc 	dmtc0	v0,c0_cacheerr
   6668 [ 0-9a-f]+:	585c 02fc 	dmtc0	v0,c0_taglo
   6669 [ 0-9a-f]+:	585d 02fc 	dmtc0	v0,c0_taghi
   6670 [ 0-9a-f]+:	585e 02fc 	dmtc0	v0,c0_errorepc
   6671 [ 0-9a-f]+:	585f 02fc 	dmtc0	v0,c0_desave
   6672 [ 0-9a-f]+:	5840 02fc 	dmtc0	v0,c0_index
   6673 [ 0-9a-f]+:	5840 0afc 	dmtc0	v0,c0_mvpcontrol
   6674 [ 0-9a-f]+:	5840 12fc 	dmtc0	v0,c0_mvpconf0
   6675 [ 0-9a-f]+:	5840 1afc 	dmtc0	v0,c0_mvpconf1
   6676 [ 0-9a-f]+:	5840 22fc 	dmtc0	v0,\$0,4
   6677 [ 0-9a-f]+:	5840 2afc 	dmtc0	v0,\$0,5
   6678 [ 0-9a-f]+:	5840 32fc 	dmtc0	v0,\$0,6
   6679 [ 0-9a-f]+:	5840 3afc 	dmtc0	v0,\$0,7
   6680 [ 0-9a-f]+:	5841 02fc 	dmtc0	v0,c0_random
   6681 [ 0-9a-f]+:	5841 0afc 	dmtc0	v0,c0_vpecontrol
   6682 [ 0-9a-f]+:	5841 12fc 	dmtc0	v0,c0_vpeconf0
   6683 [ 0-9a-f]+:	5841 1afc 	dmtc0	v0,c0_vpeconf1
   6684 [ 0-9a-f]+:	5841 22fc 	dmtc0	v0,c0_yqmask
   6685 [ 0-9a-f]+:	5841 2afc 	dmtc0	v0,c0_vpeschedule
   6686 [ 0-9a-f]+:	5841 32fc 	dmtc0	v0,c0_vpeschefback
   6687 [ 0-9a-f]+:	5841 3afc 	dmtc0	v0,\$1,7
   6688 [ 0-9a-f]+:	5842 02fc 	dmtc0	v0,c0_entrylo0
   6689 [ 0-9a-f]+:	5842 0afc 	dmtc0	v0,c0_tcstatus
   6690 [ 0-9a-f]+:	5842 12fc 	dmtc0	v0,c0_tcbind
   6691 [ 0-9a-f]+:	5842 1afc 	dmtc0	v0,c0_tcrestart
   6692 [ 0-9a-f]+:	5842 22fc 	dmtc0	v0,c0_tchalt
   6693 [ 0-9a-f]+:	5842 2afc 	dmtc0	v0,c0_tccontext
   6694 [ 0-9a-f]+:	5842 32fc 	dmtc0	v0,c0_tcschedule
   6695 [ 0-9a-f]+:	5842 3afc 	dmtc0	v0,c0_tcschefback
   6696 [ 0-9a-f]+:	54a0 243b 	dmfc1	a1,\$f0
   6697 [ 0-9a-f]+:	54a1 243b 	dmfc1	a1,\$f1
   6698 [ 0-9a-f]+:	54a2 243b 	dmfc1	a1,\$f2
   6699 [ 0-9a-f]+:	54a3 243b 	dmfc1	a1,\$f3
   6700 [ 0-9a-f]+:	54a4 243b 	dmfc1	a1,\$f4
   6701 [ 0-9a-f]+:	54a5 243b 	dmfc1	a1,\$f5
   6702 [ 0-9a-f]+:	54a6 243b 	dmfc1	a1,\$f6
   6703 [ 0-9a-f]+:	54a7 243b 	dmfc1	a1,\$f7
   6704 [ 0-9a-f]+:	54a8 243b 	dmfc1	a1,\$f8
   6705 [ 0-9a-f]+:	54a9 243b 	dmfc1	a1,\$f9
   6706 [ 0-9a-f]+:	54aa 243b 	dmfc1	a1,\$f10
   6707 [ 0-9a-f]+:	54ab 243b 	dmfc1	a1,\$f11
   6708 [ 0-9a-f]+:	54ac 243b 	dmfc1	a1,\$f12
   6709 [ 0-9a-f]+:	54ad 243b 	dmfc1	a1,\$f13
   6710 [ 0-9a-f]+:	54ae 243b 	dmfc1	a1,\$f14
   6711 [ 0-9a-f]+:	54af 243b 	dmfc1	a1,\$f15
   6712 [ 0-9a-f]+:	54b0 243b 	dmfc1	a1,\$f16
   6713 [ 0-9a-f]+:	54b1 243b 	dmfc1	a1,\$f17
   6714 [ 0-9a-f]+:	54b2 243b 	dmfc1	a1,\$f18
   6715 [ 0-9a-f]+:	54b3 243b 	dmfc1	a1,\$f19
   6716 [ 0-9a-f]+:	54b4 243b 	dmfc1	a1,\$f20
   6717 [ 0-9a-f]+:	54b5 243b 	dmfc1	a1,\$f21
   6718 [ 0-9a-f]+:	54b6 243b 	dmfc1	a1,\$f22
   6719 [ 0-9a-f]+:	54b7 243b 	dmfc1	a1,\$f23
   6720 [ 0-9a-f]+:	54b8 243b 	dmfc1	a1,\$f24
   6721 [ 0-9a-f]+:	54b9 243b 	dmfc1	a1,\$f25
   6722 [ 0-9a-f]+:	54ba 243b 	dmfc1	a1,\$f26
   6723 [ 0-9a-f]+:	54bb 243b 	dmfc1	a1,\$f27
   6724 [ 0-9a-f]+:	54bc 243b 	dmfc1	a1,\$f28
   6725 [ 0-9a-f]+:	54bd 243b 	dmfc1	a1,\$f29
   6726 [ 0-9a-f]+:	54be 243b 	dmfc1	a1,\$f30
   6727 [ 0-9a-f]+:	54bf 243b 	dmfc1	a1,\$f31
   6728 [ 0-9a-f]+:	54a0 243b 	dmfc1	a1,\$f0
   6729 [ 0-9a-f]+:	54a1 243b 	dmfc1	a1,\$f1
   6730 [ 0-9a-f]+:	54a2 243b 	dmfc1	a1,\$f2
   6731 [ 0-9a-f]+:	54a3 243b 	dmfc1	a1,\$f3
   6732 [ 0-9a-f]+:	54a4 243b 	dmfc1	a1,\$f4
   6733 [ 0-9a-f]+:	54a5 243b 	dmfc1	a1,\$f5
   6734 [ 0-9a-f]+:	54a6 243b 	dmfc1	a1,\$f6
   6735 [ 0-9a-f]+:	54a7 243b 	dmfc1	a1,\$f7
   6736 [ 0-9a-f]+:	54a8 243b 	dmfc1	a1,\$f8
   6737 [ 0-9a-f]+:	54a9 243b 	dmfc1	a1,\$f9
   6738 [ 0-9a-f]+:	54aa 243b 	dmfc1	a1,\$f10
   6739 [ 0-9a-f]+:	54ab 243b 	dmfc1	a1,\$f11
   6740 [ 0-9a-f]+:	54ac 243b 	dmfc1	a1,\$f12
   6741 [ 0-9a-f]+:	54ad 243b 	dmfc1	a1,\$f13
   6742 [ 0-9a-f]+:	54ae 243b 	dmfc1	a1,\$f14
   6743 [ 0-9a-f]+:	54af 243b 	dmfc1	a1,\$f15
   6744 [ 0-9a-f]+:	54b0 243b 	dmfc1	a1,\$f16
   6745 [ 0-9a-f]+:	54b1 243b 	dmfc1	a1,\$f17
   6746 [ 0-9a-f]+:	54b2 243b 	dmfc1	a1,\$f18
   6747 [ 0-9a-f]+:	54b3 243b 	dmfc1	a1,\$f19
   6748 [ 0-9a-f]+:	54b4 243b 	dmfc1	a1,\$f20
   6749 [ 0-9a-f]+:	54b5 243b 	dmfc1	a1,\$f21
   6750 [ 0-9a-f]+:	54b6 243b 	dmfc1	a1,\$f22
   6751 [ 0-9a-f]+:	54b7 243b 	dmfc1	a1,\$f23
   6752 [ 0-9a-f]+:	54b8 243b 	dmfc1	a1,\$f24
   6753 [ 0-9a-f]+:	54b9 243b 	dmfc1	a1,\$f25
   6754 [ 0-9a-f]+:	54ba 243b 	dmfc1	a1,\$f26
   6755 [ 0-9a-f]+:	54bb 243b 	dmfc1	a1,\$f27
   6756 [ 0-9a-f]+:	54bc 243b 	dmfc1	a1,\$f28
   6757 [ 0-9a-f]+:	54bd 243b 	dmfc1	a1,\$f29
   6758 [ 0-9a-f]+:	54be 243b 	dmfc1	a1,\$f30
   6759 [ 0-9a-f]+:	54bf 243b 	dmfc1	a1,\$f31
   6760 [ 0-9a-f]+:	54a0 2c3b 	dmtc1	a1,c1_fir
   6761 [ 0-9a-f]+:	54a1 2c3b 	dmtc1	a1,c1_ufr
   6762 [ 0-9a-f]+:	54a2 2c3b 	dmtc1	a1,\$2
   6763 [ 0-9a-f]+:	54a3 2c3b 	dmtc1	a1,\$3
   6764 [ 0-9a-f]+:	54a4 2c3b 	dmtc1	a1,c1_unfr
   6765 [ 0-9a-f]+:	54a5 2c3b 	dmtc1	a1,\$5
   6766 [ 0-9a-f]+:	54a6 2c3b 	dmtc1	a1,\$6
   6767 [ 0-9a-f]+:	54a7 2c3b 	dmtc1	a1,\$7
   6768 [ 0-9a-f]+:	54a8 2c3b 	dmtc1	a1,\$8
   6769 [ 0-9a-f]+:	54a9 2c3b 	dmtc1	a1,\$9
   6770 [ 0-9a-f]+:	54aa 2c3b 	dmtc1	a1,\$10
   6771 [ 0-9a-f]+:	54ab 2c3b 	dmtc1	a1,\$11
   6772 [ 0-9a-f]+:	54ac 2c3b 	dmtc1	a1,\$12
   6773 [ 0-9a-f]+:	54ad 2c3b 	dmtc1	a1,\$13
   6774 [ 0-9a-f]+:	54ae 2c3b 	dmtc1	a1,\$14
   6775 [ 0-9a-f]+:	54af 2c3b 	dmtc1	a1,\$15
   6776 [ 0-9a-f]+:	54b0 2c3b 	dmtc1	a1,\$16
   6777 [ 0-9a-f]+:	54b1 2c3b 	dmtc1	a1,\$17
   6778 [ 0-9a-f]+:	54b2 2c3b 	dmtc1	a1,\$18
   6779 [ 0-9a-f]+:	54b3 2c3b 	dmtc1	a1,\$19
   6780 [ 0-9a-f]+:	54b4 2c3b 	dmtc1	a1,\$20
   6781 [ 0-9a-f]+:	54b5 2c3b 	dmtc1	a1,\$21
   6782 [ 0-9a-f]+:	54b6 2c3b 	dmtc1	a1,\$22
   6783 [ 0-9a-f]+:	54b7 2c3b 	dmtc1	a1,\$23
   6784 [ 0-9a-f]+:	54b8 2c3b 	dmtc1	a1,\$24
   6785 [ 0-9a-f]+:	54b9 2c3b 	dmtc1	a1,c1_fccr
   6786 [ 0-9a-f]+:	54ba 2c3b 	dmtc1	a1,c1_fexr
   6787 [ 0-9a-f]+:	54bb 2c3b 	dmtc1	a1,\$27
   6788 [ 0-9a-f]+:	54bc 2c3b 	dmtc1	a1,c1_fenr
   6789 [ 0-9a-f]+:	54bd 2c3b 	dmtc1	a1,\$29
   6790 [ 0-9a-f]+:	54be 2c3b 	dmtc1	a1,\$30
   6791 [ 0-9a-f]+:	54bf 2c3b 	dmtc1	a1,c1_fcsr
   6792 [ 0-9a-f]+:	54a0 2c3b 	dmtc1	a1,c1_fir
   6793 [ 0-9a-f]+:	54a1 2c3b 	dmtc1	a1,c1_ufr
   6794 [ 0-9a-f]+:	54a2 2c3b 	dmtc1	a1,\$2
   6795 [ 0-9a-f]+:	54a3 2c3b 	dmtc1	a1,\$3
   6796 [ 0-9a-f]+:	54a4 2c3b 	dmtc1	a1,c1_unfr
   6797 [ 0-9a-f]+:	54a5 2c3b 	dmtc1	a1,\$5
   6798 [ 0-9a-f]+:	54a6 2c3b 	dmtc1	a1,\$6
   6799 [ 0-9a-f]+:	54a7 2c3b 	dmtc1	a1,\$7
   6800 [ 0-9a-f]+:	54a8 2c3b 	dmtc1	a1,\$8
   6801 [ 0-9a-f]+:	54a9 2c3b 	dmtc1	a1,\$9
   6802 [ 0-9a-f]+:	54aa 2c3b 	dmtc1	a1,\$10
   6803 [ 0-9a-f]+:	54ab 2c3b 	dmtc1	a1,\$11
   6804 [ 0-9a-f]+:	54ac 2c3b 	dmtc1	a1,\$12
   6805 [ 0-9a-f]+:	54ad 2c3b 	dmtc1	a1,\$13
   6806 [ 0-9a-f]+:	54ae 2c3b 	dmtc1	a1,\$14
   6807 [ 0-9a-f]+:	54af 2c3b 	dmtc1	a1,\$15
   6808 [ 0-9a-f]+:	54b0 2c3b 	dmtc1	a1,\$16
   6809 [ 0-9a-f]+:	54b1 2c3b 	dmtc1	a1,\$17
   6810 [ 0-9a-f]+:	54b2 2c3b 	dmtc1	a1,\$18
   6811 [ 0-9a-f]+:	54b3 2c3b 	dmtc1	a1,\$19
   6812 [ 0-9a-f]+:	54b4 2c3b 	dmtc1	a1,\$20
   6813 [ 0-9a-f]+:	54b5 2c3b 	dmtc1	a1,\$21
   6814 [ 0-9a-f]+:	54b6 2c3b 	dmtc1	a1,\$22
   6815 [ 0-9a-f]+:	54b7 2c3b 	dmtc1	a1,\$23
   6816 [ 0-9a-f]+:	54b8 2c3b 	dmtc1	a1,\$24
   6817 [ 0-9a-f]+:	54b9 2c3b 	dmtc1	a1,c1_fccr
   6818 [ 0-9a-f]+:	54ba 2c3b 	dmtc1	a1,c1_fexr
   6819 [ 0-9a-f]+:	54bb 2c3b 	dmtc1	a1,\$27
   6820 [ 0-9a-f]+:	54bc 2c3b 	dmtc1	a1,c1_fenr
   6821 [ 0-9a-f]+:	54bd 2c3b 	dmtc1	a1,\$29
   6822 [ 0-9a-f]+:	54be 2c3b 	dmtc1	a1,\$30
   6823 [ 0-9a-f]+:	54bf 2c3b 	dmtc1	a1,c1_fcsr
   6824 [ 0-9a-f]+:	0040 6d3c 	dmfc2	v0,\$0
   6825 [ 0-9a-f]+:	0041 6d3c 	dmfc2	v0,\$1
   6826 [ 0-9a-f]+:	0042 6d3c 	dmfc2	v0,\$2
   6827 [ 0-9a-f]+:	0043 6d3c 	dmfc2	v0,\$3
   6828 [ 0-9a-f]+:	0044 6d3c 	dmfc2	v0,\$4
   6829 [ 0-9a-f]+:	0045 6d3c 	dmfc2	v0,\$5
   6830 [ 0-9a-f]+:	0046 6d3c 	dmfc2	v0,\$6
   6831 [ 0-9a-f]+:	0047 6d3c 	dmfc2	v0,\$7
   6832 [ 0-9a-f]+:	0048 6d3c 	dmfc2	v0,\$8
   6833 [ 0-9a-f]+:	0049 6d3c 	dmfc2	v0,\$9
   6834 [ 0-9a-f]+:	004a 6d3c 	dmfc2	v0,\$10
   6835 [ 0-9a-f]+:	004b 6d3c 	dmfc2	v0,\$11
   6836 [ 0-9a-f]+:	004c 6d3c 	dmfc2	v0,\$12
   6837 [ 0-9a-f]+:	004d 6d3c 	dmfc2	v0,\$13
   6838 [ 0-9a-f]+:	004e 6d3c 	dmfc2	v0,\$14
   6839 [ 0-9a-f]+:	004f 6d3c 	dmfc2	v0,\$15
   6840 [ 0-9a-f]+:	0050 6d3c 	dmfc2	v0,\$16
   6841 [ 0-9a-f]+:	0051 6d3c 	dmfc2	v0,\$17
   6842 [ 0-9a-f]+:	0052 6d3c 	dmfc2	v0,\$18
   6843 [ 0-9a-f]+:	0053 6d3c 	dmfc2	v0,\$19
   6844 [ 0-9a-f]+:	0054 6d3c 	dmfc2	v0,\$20
   6845 [ 0-9a-f]+:	0055 6d3c 	dmfc2	v0,\$21
   6846 [ 0-9a-f]+:	0056 6d3c 	dmfc2	v0,\$22
   6847 [ 0-9a-f]+:	0057 6d3c 	dmfc2	v0,\$23
   6848 [ 0-9a-f]+:	0058 6d3c 	dmfc2	v0,\$24
   6849 [ 0-9a-f]+:	0059 6d3c 	dmfc2	v0,\$25
   6850 [ 0-9a-f]+:	005a 6d3c 	dmfc2	v0,\$26
   6851 [ 0-9a-f]+:	005b 6d3c 	dmfc2	v0,\$27
   6852 [ 0-9a-f]+:	005c 6d3c 	dmfc2	v0,\$28
   6853 [ 0-9a-f]+:	005d 6d3c 	dmfc2	v0,\$29
   6854 [ 0-9a-f]+:	005e 6d3c 	dmfc2	v0,\$30
   6855 [ 0-9a-f]+:	005f 6d3c 	dmfc2	v0,\$31
   6856 [ 0-9a-f]+:	0040 7d3c 	dmtc2	v0,\$0
   6857 [ 0-9a-f]+:	0041 7d3c 	dmtc2	v0,\$1
   6858 [ 0-9a-f]+:	0042 7d3c 	dmtc2	v0,\$2
   6859 [ 0-9a-f]+:	0043 7d3c 	dmtc2	v0,\$3
   6860 [ 0-9a-f]+:	0044 7d3c 	dmtc2	v0,\$4
   6861 [ 0-9a-f]+:	0045 7d3c 	dmtc2	v0,\$5
   6862 [ 0-9a-f]+:	0046 7d3c 	dmtc2	v0,\$6
   6863 [ 0-9a-f]+:	0047 7d3c 	dmtc2	v0,\$7
   6864 [ 0-9a-f]+:	0048 7d3c 	dmtc2	v0,\$8
   6865 [ 0-9a-f]+:	0049 7d3c 	dmtc2	v0,\$9
   6866 [ 0-9a-f]+:	004a 7d3c 	dmtc2	v0,\$10
   6867 [ 0-9a-f]+:	004b 7d3c 	dmtc2	v0,\$11
   6868 [ 0-9a-f]+:	004c 7d3c 	dmtc2	v0,\$12
   6869 [ 0-9a-f]+:	004d 7d3c 	dmtc2	v0,\$13
   6870 [ 0-9a-f]+:	004e 7d3c 	dmtc2	v0,\$14
   6871 [ 0-9a-f]+:	004f 7d3c 	dmtc2	v0,\$15
   6872 [ 0-9a-f]+:	0050 7d3c 	dmtc2	v0,\$16
   6873 [ 0-9a-f]+:	0051 7d3c 	dmtc2	v0,\$17
   6874 [ 0-9a-f]+:	0052 7d3c 	dmtc2	v0,\$18
   6875 [ 0-9a-f]+:	0053 7d3c 	dmtc2	v0,\$19
   6876 [ 0-9a-f]+:	0054 7d3c 	dmtc2	v0,\$20
   6877 [ 0-9a-f]+:	0055 7d3c 	dmtc2	v0,\$21
   6878 [ 0-9a-f]+:	0056 7d3c 	dmtc2	v0,\$22
   6879 [ 0-9a-f]+:	0057 7d3c 	dmtc2	v0,\$23
   6880 [ 0-9a-f]+:	0058 7d3c 	dmtc2	v0,\$24
   6881 [ 0-9a-f]+:	0059 7d3c 	dmtc2	v0,\$25
   6882 [ 0-9a-f]+:	005a 7d3c 	dmtc2	v0,\$26
   6883 [ 0-9a-f]+:	005b 7d3c 	dmtc2	v0,\$27
   6884 [ 0-9a-f]+:	005c 7d3c 	dmtc2	v0,\$28
   6885 [ 0-9a-f]+:	005d 7d3c 	dmtc2	v0,\$29
   6886 [ 0-9a-f]+:	005e 7d3c 	dmtc2	v0,\$30
   6887 [ 0-9a-f]+:	005f 7d3c 	dmtc2	v0,\$31
   6888 [ 0-9a-f]+:	5862 8b3c 	dmult	v0,v1
   6889 [ 0-9a-f]+:	5862 9b3c 	dmultu	v0,v1
   6890 [ 0-9a-f]+:	5883 9b3c 	dmultu	v1,a0
   6891 [ 0-9a-f]+:	4642      	mflo	v0
   6892 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   6893 [ 0-9a-f]+:	5021 5678 	ori	at,at,0x5678
   6894 [ 0-9a-f]+:	5823 8b3c 	dmult	v1,at
   6895 [ 0-9a-f]+:	4642      	mflo	v0
   6896 [ 0-9a-f]+:	5883 8b3c 	dmult	v1,a0
   6897 [ 0-9a-f]+:	4642      	mflo	v0
   6898 [ 0-9a-f]+:	5842 f888 	dsra32	v0,v0,0x1f
   6899 [ 0-9a-f]+:	4601      	mfhi	at
   6900 [ 0-9a-f]+:	0022 6c3c 	tne	v0,at,0x6
   6901 [ 0-9a-f]+:	4642      	mflo	v0
   6902 [ 0-9a-f]+:	3020 0004 	li	at,4
   6903 [ 0-9a-f]+:	5823 8b3c 	dmult	v1,at
   6904 [ 0-9a-f]+:	4642      	mflo	v0
   6905 [ 0-9a-f]+:	5842 f888 	dsra32	v0,v0,0x1f
   6906 [ 0-9a-f]+:	4601      	mfhi	at
   6907 [ 0-9a-f]+:	0022 6c3c 	tne	v0,at,0x6
   6908 [ 0-9a-f]+:	4642      	mflo	v0
   6909 [ 0-9a-f]+:	5883 9b3c 	dmultu	v1,a0
   6910 [ 0-9a-f]+:	4601      	mfhi	at
   6911 [ 0-9a-f]+:	4642      	mflo	v0
   6912 [ 0-9a-f]+:	0001 6c3c 	tne	at,zero,0x6
   6913 [ 0-9a-f]+:	3020 0004 	li	at,4
   6914 [ 0-9a-f]+:	5823 9b3c 	dmultu	v1,at
   6915 [ 0-9a-f]+:	4601      	mfhi	at
   6916 [ 0-9a-f]+:	4642      	mflo	v0
   6917 [ 0-9a-f]+:	0001 6c3c 	tne	at,zero,0x6
   6918 [ 0-9a-f]+:	0000 703c 	teq	zero,zero,0x7
   6919 [ 0-9a-f]+:	0c60      	move	v1,zero
   6920 [ 0-9a-f]+:	0c60      	move	v1,zero
   6921 [ 0-9a-f]+:	3020 0002 	li	at,2
   6922 [ 0-9a-f]+:	5824 ab3c 	ddiv	zero,a0,at
   6923 [ 0-9a-f]+:	4603      	mfhi	v1
   6924 [ 0-9a-f]+:	5862 ab3c 	ddiv	zero,v0,v1
   6925 [ 0-9a-f]+:	5bfe ab3c 	ddiv	zero,s8,ra
   6926 [ 0-9a-f]+:	0003 703c 	teq	v1,zero,0x7
   6927 [ 0-9a-f]+:	5860 ab3c 	ddiv	zero,zero,v1
   6928 [ 0-9a-f]+:	3020 ffff 	li	at,-1
   6929 [ 0-9a-f]+:	b423 fffe 	bne	v1,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   6930 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   6931 [ 0-9a-f]+:	3020 0001 	li	at,1
   6932 [ 0-9a-f]+:	5821 f808 	dsll32	at,at,0x1f
   6933 [ 0-9a-f]+:	0020 603c 	teq	zero,at,0x6
   6934 
   6935 [0-9a-f]+ <.*>:
   6936 [ 0-9a-f]+:	4600      	mfhi	zero
   6937 [ 0-9a-f]+:	001f 703c 	teq	ra,zero,0x7
   6938 [ 0-9a-f]+:	5be0 ab3c 	ddiv	zero,zero,ra
   6939 [ 0-9a-f]+:	3020 ffff 	li	at,-1
   6940 [ 0-9a-f]+:	b43f fffe 	bne	ra,at,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   6941 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	.*
   6942 [ 0-9a-f]+:	3020 0001 	li	at,1
   6943 [ 0-9a-f]+:	5821 f808 	dsll32	at,at,0x1f
   6944 [ 0-9a-f]+:	0020 603c 	teq	zero,at,0x6
   6945 
   6946 [0-9a-f]+ <.*>:
   6947 [ 0-9a-f]+:	4600      	mfhi	zero
   6948 [ 0-9a-f]+:	0000 703c 	teq	zero,zero,0x7
   6949 [ 0-9a-f]+:	0c60      	move	v1,zero
   6950 [ 0-9a-f]+:	0c60      	move	v1,zero
   6951 [ 0-9a-f]+:	3020 0002 	li	at,2
   6952 [ 0-9a-f]+:	5824 ab3c 	ddiv	zero,a0,at
   6953 [ 0-9a-f]+:	4603      	mfhi	v1
   6954 [ 0-9a-f]+:	5862 bb3c 	ddivu	zero,v0,v1
   6955 [ 0-9a-f]+:	5bfe bb3c 	ddivu	zero,s8,ra
   6956 [ 0-9a-f]+:	0003 703c 	teq	v1,zero,0x7
   6957 [ 0-9a-f]+:	5860 bb3c 	ddivu	zero,zero,v1
   6958 [ 0-9a-f]+:	4600      	mfhi	zero
   6959 [ 0-9a-f]+:	001f 703c 	teq	ra,zero,0x7
   6960 [ 0-9a-f]+:	5be0 bb3c 	ddivu	zero,zero,ra
   6961 [ 0-9a-f]+:	4600      	mfhi	zero
   6962 [ 0-9a-f]+:	0000 703c 	teq	zero,zero,0x7
   6963 [ 0-9a-f]+:	0c60      	move	v1,zero
   6964 [ 0-9a-f]+:	3020 ffff 	li	at,-1
   6965 [ 0-9a-f]+:	5824 bb3c 	ddivu	zero,a0,at
   6966 [ 0-9a-f]+:	4603      	mfhi	v1
   6967 [ 0-9a-f]+:	3020 0002 	li	at,2
   6968 [ 0-9a-f]+:	5824 bb3c 	ddivu	zero,a0,at
   6969 [ 0-9a-f]+:	4603      	mfhi	v1
   6970 [ 0-9a-f]+:	5880 11d0 	dnegu	v0,a0
   6971 [ 0-9a-f]+:	5862 10d0 	drorv	v0,v1,v0
   6972 [ 0-9a-f]+:	5880 09d0 	dnegu	at,a0
   6973 [ 0-9a-f]+:	5841 10d0 	drorv	v0,v0,at
   6974 [ 0-9a-f]+:	5843 e0c8 	dror32	v0,v1,0x1c
   6975 [ 0-9a-f]+:	5864 10d0 	drorv	v0,v1,a0
   6976 [ 0-9a-f]+:	5843 20c0 	dror	v0,v1,0x4
   6977 [ 0-9a-f]+:	5843 20c8 	dror32	v0,v1,0x4
   6978 [ 0-9a-f]+:	5864 10d0 	drorv	v0,v1,a0
   6979 [ 0-9a-f]+:	5843 20c8 	dror32	v0,v1,0x4
   6980 [ 0-9a-f]+:	5880 11d0 	dnegu	v0,a0
   6981 [ 0-9a-f]+:	5862 10d0 	drorv	v0,v1,v0
   6982 [ 0-9a-f]+:	5880 09d0 	dnegu	at,a0
   6983 [ 0-9a-f]+:	5841 10d0 	drorv	v0,v0,at
   6984 [ 0-9a-f]+:	5843 e0c8 	dror32	v0,v1,0x1c
   6985 [ 0-9a-f]+:	5864 10d0 	drorv	v0,v1,a0
   6986 [ 0-9a-f]+:	5843 20c0 	dror	v0,v1,0x4
   6987 [ 0-9a-f]+:	5843 20c8 	dror32	v0,v1,0x4
   6988 [ 0-9a-f]+:	5864 10d0 	drorv	v0,v1,a0
   6989 [ 0-9a-f]+:	5843 20c8 	dror32	v0,v1,0x4
   6990 [ 0-9a-f]+:	5843 7b3c 	dsbh	v0,v1
   6991 [ 0-9a-f]+:	5842 7b3c 	dsbh	v0,v0
   6992 [ 0-9a-f]+:	5842 7b3c 	dsbh	v0,v0
   6993 [ 0-9a-f]+:	5843 fb3c 	dshd	v0,v1
   6994 [ 0-9a-f]+:	5842 fb3c 	dshd	v0,v0
   6995 [ 0-9a-f]+:	5842 fb3c 	dshd	v0,v0
   6996 [ 0-9a-f]+:	5864 1010 	dsllv	v0,v1,a0
   6997 [ 0-9a-f]+:	5843 f808 	dsll32	v0,v1,0x1f
   6998 [ 0-9a-f]+:	5864 1010 	dsllv	v0,v1,a0
   6999 [ 0-9a-f]+:	5843 f808 	dsll32	v0,v1,0x1f
   7000 [ 0-9a-f]+:	5843 f800 	dsll	v0,v1,0x1f
   7001 [ 0-9a-f]+:	5864 1090 	dsrav	v0,v1,a0
   7002 [ 0-9a-f]+:	5843 2088 	dsra32	v0,v1,0x4
   7003 [ 0-9a-f]+:	5864 1090 	dsrav	v0,v1,a0
   7004 [ 0-9a-f]+:	5843 2088 	dsra32	v0,v1,0x4
   7005 [ 0-9a-f]+:	5843 2080 	dsra	v0,v1,0x4
   7006 [ 0-9a-f]+:	5864 1050 	dsrlv	v0,v1,a0
   7007 [ 0-9a-f]+:	5843 f848 	dsrl32	v0,v1,0x1f
   7008 [ 0-9a-f]+:	5864 1050 	dsrlv	v0,v1,a0
   7009 [ 0-9a-f]+:	5843 2048 	dsrl32	v0,v1,0x4
   7010 [ 0-9a-f]+:	5843 2040 	dsrl	v0,v1,0x4
   7011 [ 0-9a-f]+:	5883 1190 	dsub	v0,v1,a0
   7012 [ 0-9a-f]+:	5bfe e990 	dsub	sp,s8,ra
   7013 [ 0-9a-f]+:	5862 1190 	dsub	v0,v0,v1
   7014 [ 0-9a-f]+:	5862 1190 	dsub	v0,v0,v1
   7015 [ 0-9a-f]+:	5883 11d0 	dsubu	v0,v1,a0
   7016 [ 0-9a-f]+:	5bfe e9d0 	dsubu	sp,s8,ra
   7017 [ 0-9a-f]+:	5862 11d0 	dsubu	v0,v0,v1
   7018 [ 0-9a-f]+:	5862 11d0 	dsubu	v0,v0,v1
   7019 [ 0-9a-f]+:	5c43 edcc 	daddiu	v0,v1,-4660
   7020 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   7021 [ 0-9a-f]+:	5021 5678 	ori	at,at,0x5678
   7022 [ 0-9a-f]+:	5823 11d0 	dsubu	v0,v1,at
   7023 [ 0-9a-f]+:	5843 001c 	daddi	v0,v1,0
   7024 [ 0-9a-f]+:	5843 ffdc 	daddi	v0,v1,-1
   7025 [ 0-9a-f]+:	5843 801c 	daddi	v0,v1,-512
   7026 [ 0-9a-f]+:	5843 7fdc 	daddi	v0,v1,511
   7027 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   7028 [ 0-9a-f]+:	5823 1190 	dsub	v0,v1,at
   7029 [ 0-9a-f]+:	3020 7fff 	li	at,32767
   7030 [ 0-9a-f]+:	5823 1190 	dsub	v0,v1,at
   7031 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
   7032 [ 0-9a-f]+:	5823 1190 	dsub	v0,v1,at
   7033 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   7034 [ 0-9a-f]+:	5021 5678 	ori	at,at,0x5678
   7035 [ 0-9a-f]+:	5823 1190 	dsub	v0,v1,at
   7036 [ 0-9a-f]+:	41a1 8888 	lui	at,0x8888
   7037 [ 0-9a-f]+:	5021 1111 	ori	at,at,0x1111
   7038 [ 0-9a-f]+:	5821 8000 	dsll	at,at,0x10
   7039 [ 0-9a-f]+:	5021 1234 	ori	at,at,0x1234
   7040 [ 0-9a-f]+:	5821 8000 	dsll	at,at,0x10
   7041 [ 0-9a-f]+:	5021 5678 	ori	at,at,0x5678
   7042 [ 0-9a-f]+:	5823 1190 	dsub	v0,v1,at
   7043 [ 0-9a-f]+:	dc40 0000 	ld	v0,0\(zero\)
   7044 [ 0-9a-f]+:	dc40 0004 	ld	v0,4\(zero\)
   7045 [ 0-9a-f]+:	dc40 0000 	ld	v0,0\(zero\)
   7046 [ 0-9a-f]+:	dc40 0000 	ld	v0,0\(zero\)
   7047 [ 0-9a-f]+:	dc40 0004 	ld	v0,4\(zero\)
   7048 [ 0-9a-f]+:	dc43 0004 	ld	v0,4\(v1\)
   7049 [ 0-9a-f]+:	dc43 8000 	ld	v0,-32768\(v1\)
   7050 [ 0-9a-f]+:	dc43 7fff 	ld	v0,32767\(v1\)
   7051 [ 0-9a-f]+:	6040 4000 	ldl	v0,0\(zero\)
   7052 [ 0-9a-f]+:	6040 4004 	ldl	v0,4\(zero\)
   7053 [ 0-9a-f]+:	6040 4000 	ldl	v0,0\(zero\)
   7054 [ 0-9a-f]+:	6040 4000 	ldl	v0,0\(zero\)
   7055 [ 0-9a-f]+:	6040 4004 	ldl	v0,4\(zero\)
   7056 [ 0-9a-f]+:	6043 4004 	ldl	v0,4\(v1\)
   7057 [ 0-9a-f]+:	6043 4e00 	ldl	v0,-512\(v1\)
   7058 [ 0-9a-f]+:	6043 41ff 	ldl	v0,511\(v1\)
   7059 [ 0-9a-f]+:	3023 8000 	addiu	at,v1,-32768
   7060 [ 0-9a-f]+:	6041 4000 	ldl	v0,0\(at\)
   7061 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   7062 [ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
   7063 [ 0-9a-f]+:	0061 0950 	addu	at,at,v1
   7064 [ 0-9a-f]+:	6041 4678 	ldl	v0,1656\(at\)
   7065 [ 0-9a-f]+:	6040 5000 	ldr	v0,0\(zero\)
   7066 [ 0-9a-f]+:	6040 5004 	ldr	v0,4\(zero\)
   7067 [ 0-9a-f]+:	6040 5000 	ldr	v0,0\(zero\)
   7068 [ 0-9a-f]+:	6040 5000 	ldr	v0,0\(zero\)
   7069 [ 0-9a-f]+:	6040 5004 	ldr	v0,4\(zero\)
   7070 [ 0-9a-f]+:	6043 5004 	ldr	v0,4\(v1\)
   7071 [ 0-9a-f]+:	6043 5e00 	ldr	v0,-512\(v1\)
   7072 [ 0-9a-f]+:	6043 51ff 	ldr	v0,511\(v1\)
   7073 [ 0-9a-f]+:	3023 8000 	addiu	at,v1,-32768
   7074 [ 0-9a-f]+:	6041 5000 	ldr	v0,0\(at\)
   7075 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   7076 [ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
   7077 [ 0-9a-f]+:	0061 0950 	addu	at,at,v1
   7078 [ 0-9a-f]+:	6041 5678 	ldr	v0,1656\(at\)
   7079 [ 0-9a-f]+:	6040 7000 	lld	v0,0\(zero\)
   7080 [ 0-9a-f]+:	6040 7004 	lld	v0,4\(zero\)
   7081 [ 0-9a-f]+:	6040 7000 	lld	v0,0\(zero\)
   7082 [ 0-9a-f]+:	6040 7000 	lld	v0,0\(zero\)
   7083 [ 0-9a-f]+:	6040 7004 	lld	v0,4\(zero\)
   7084 [ 0-9a-f]+:	6043 7004 	lld	v0,4\(v1\)
   7085 [ 0-9a-f]+:	6043 7e00 	lld	v0,-512\(v1\)
   7086 [ 0-9a-f]+:	6043 71ff 	lld	v0,511\(v1\)
   7087 [ 0-9a-f]+:	3043 8000 	addiu	v0,v1,-32768
   7088 [ 0-9a-f]+:	6042 7000 	lld	v0,0\(v0\)
   7089 [ 0-9a-f]+:	41a2 1234 	lui	v0,0x1234
   7090 [ 0-9a-f]+:	5042 5000 	ori	v0,v0,0x5000
   7091 [ 0-9a-f]+:	0062 1150 	addu	v0,v0,v1
   7092 [ 0-9a-f]+:	6042 7678 	lld	v0,1656\(v0\)
   7093 [ 0-9a-f]+:	6040 e000 	lwu	v0,0\(zero\)
   7094 [ 0-9a-f]+:	6040 e004 	lwu	v0,4\(zero\)
   7095 [ 0-9a-f]+:	6040 e000 	lwu	v0,0\(zero\)
   7096 [ 0-9a-f]+:	6040 e000 	lwu	v0,0\(zero\)
   7097 [ 0-9a-f]+:	6040 e004 	lwu	v0,4\(zero\)
   7098 [ 0-9a-f]+:	6043 e004 	lwu	v0,4\(v1\)
   7099 [ 0-9a-f]+:	6043 ee00 	lwu	v0,-512\(v1\)
   7100 [ 0-9a-f]+:	6043 e1ff 	lwu	v0,511\(v1\)
   7101 [ 0-9a-f]+:	3043 8000 	addiu	v0,v1,-32768
   7102 [ 0-9a-f]+:	6042 e000 	lwu	v0,0\(v0\)
   7103 [ 0-9a-f]+:	41a2 1234 	lui	v0,0x1234
   7104 [ 0-9a-f]+:	5042 5000 	ori	v0,v0,0x5000
   7105 [ 0-9a-f]+:	0062 1150 	addu	v0,v0,v1
   7106 [ 0-9a-f]+:	6042 e678 	lwu	v0,1656\(v0\)
   7107 [ 0-9a-f]+:	6040 f000 	scd	v0,0\(zero\)
   7108 [ 0-9a-f]+:	6040 f004 	scd	v0,4\(zero\)
   7109 [ 0-9a-f]+:	6040 f000 	scd	v0,0\(zero\)
   7110 [ 0-9a-f]+:	6040 f000 	scd	v0,0\(zero\)
   7111 [ 0-9a-f]+:	6040 f004 	scd	v0,4\(zero\)
   7112 [ 0-9a-f]+:	6043 f004 	scd	v0,4\(v1\)
   7113 [ 0-9a-f]+:	6043 fe00 	scd	v0,-512\(v1\)
   7114 [ 0-9a-f]+:	6043 f1ff 	scd	v0,511\(v1\)
   7115 [ 0-9a-f]+:	3023 8000 	addiu	at,v1,-32768
   7116 [ 0-9a-f]+:	6041 f000 	scd	v0,0\(at\)
   7117 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   7118 [ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
   7119 [ 0-9a-f]+:	0061 0950 	addu	at,at,v1
   7120 [ 0-9a-f]+:	6041 f678 	scd	v0,1656\(at\)
   7121 [ 0-9a-f]+:	d840 0000 	sd	v0,0\(zero\)
   7122 [ 0-9a-f]+:	d840 0004 	sd	v0,4\(zero\)
   7123 [ 0-9a-f]+:	d840 0000 	sd	v0,0\(zero\)
   7124 [ 0-9a-f]+:	d840 0000 	sd	v0,0\(zero\)
   7125 [ 0-9a-f]+:	d840 0004 	sd	v0,4\(zero\)
   7126 [ 0-9a-f]+:	d843 0004 	sd	v0,4\(v1\)
   7127 [ 0-9a-f]+:	d843 8000 	sd	v0,-32768\(v1\)
   7128 [ 0-9a-f]+:	d843 7fff 	sd	v0,32767\(v1\)
   7129 [ 0-9a-f]+:	6040 c000 	sdl	v0,0\(zero\)
   7130 [ 0-9a-f]+:	6040 c004 	sdl	v0,4\(zero\)
   7131 [ 0-9a-f]+:	6040 c000 	sdl	v0,0\(zero\)
   7132 [ 0-9a-f]+:	6040 c000 	sdl	v0,0\(zero\)
   7133 [ 0-9a-f]+:	6040 c004 	sdl	v0,4\(zero\)
   7134 [ 0-9a-f]+:	6043 c004 	sdl	v0,4\(v1\)
   7135 [ 0-9a-f]+:	3023 8000 	addiu	at,v1,-32768
   7136 [ 0-9a-f]+:	6041 c000 	sdl	v0,0\(at\)
   7137 [ 0-9a-f]+:	3023 7fff 	addiu	at,v1,32767
   7138 [ 0-9a-f]+:	6041 c000 	sdl	v0,0\(at\)
   7139 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   7140 [ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
   7141 [ 0-9a-f]+:	0061 0950 	addu	at,at,v1
   7142 [ 0-9a-f]+:	6041 c678 	sdl	v0,1656\(at\)
   7143 [ 0-9a-f]+:	6040 d000 	sdr	v0,0\(zero\)
   7144 [ 0-9a-f]+:	6040 d004 	sdr	v0,4\(zero\)
   7145 [ 0-9a-f]+:	6040 d000 	sdr	v0,0\(zero\)
   7146 [ 0-9a-f]+:	6040 d000 	sdr	v0,0\(zero\)
   7147 [ 0-9a-f]+:	6040 d004 	sdr	v0,4\(zero\)
   7148 [ 0-9a-f]+:	6043 d004 	sdr	v0,4\(v1\)
   7149 [ 0-9a-f]+:	3023 8000 	addiu	at,v1,-32768
   7150 [ 0-9a-f]+:	6041 d000 	sdr	v0,0\(at\)
   7151 [ 0-9a-f]+:	3023 7fff 	addiu	at,v1,32767
   7152 [ 0-9a-f]+:	6041 d000 	sdr	v0,0\(at\)
   7153 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   7154 [ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
   7155 [ 0-9a-f]+:	0061 0950 	addu	at,at,v1
   7156 [ 0-9a-f]+:	6041 d678 	sdr	v0,1656\(at\)
   7157 [ 0-9a-f]+:	2020 7000 	ldm	s0,0\(zero\)
   7158 [ 0-9a-f]+:	2020 7004 	ldm	s0,4\(zero\)
   7159 [ 0-9a-f]+:	2025 7000 	ldm	s0,0\(a1\)
   7160 [ 0-9a-f]+:	2025 77ff 	ldm	s0,2047\(a1\)
   7161 [ 0-9a-f]+:	2045 77ff 	ldm	s0-s1,2047\(a1\)
   7162 [ 0-9a-f]+:	2065 77ff 	ldm	s0-s2,2047\(a1\)
   7163 [ 0-9a-f]+:	2085 77ff 	ldm	s0-s3,2047\(a1\)
   7164 [ 0-9a-f]+:	20a5 77ff 	ldm	s0-s4,2047\(a1\)
   7165 [ 0-9a-f]+:	20c5 77ff 	ldm	s0-s5,2047\(a1\)
   7166 [ 0-9a-f]+:	20e5 77ff 	ldm	s0-s6,2047\(a1\)
   7167 [ 0-9a-f]+:	2105 77ff 	ldm	s0-s7,2047\(a1\)
   7168 [ 0-9a-f]+:	2125 77ff 	ldm	s0-s7,s8,2047\(a1\)
   7169 [ 0-9a-f]+:	2205 77ff 	ldm	ra,2047\(a1\)
   7170 [ 0-9a-f]+:	2225 7000 	ldm	s0,ra,0\(a1\)
   7171 [ 0-9a-f]+:	2245 7000 	ldm	s0-s1,ra,0\(a1\)
   7172 [ 0-9a-f]+:	2265 7000 	ldm	s0-s2,ra,0\(a1\)
   7173 [ 0-9a-f]+:	2285 7000 	ldm	s0-s3,ra,0\(a1\)
   7174 [ 0-9a-f]+:	22a5 7000 	ldm	s0-s4,ra,0\(a1\)
   7175 [ 0-9a-f]+:	22c5 7000 	ldm	s0-s5,ra,0\(a1\)
   7176 [ 0-9a-f]+:	22e5 7000 	ldm	s0-s6,ra,0\(a1\)
   7177 [ 0-9a-f]+:	2305 7000 	ldm	s0-s7,ra,0\(a1\)
   7178 [ 0-9a-f]+:	2325 7000 	ldm	s0-s7,s8,ra,0\(a1\)
   7179 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   7180 [ 0-9a-f]+:	2021 7000 	ldm	s0,0\(at\)
   7181 [ 0-9a-f]+:	3020 7fff 	li	at,32767
   7182 [ 0-9a-f]+:	2021 7000 	ldm	s0,0\(at\)
   7183 [ 0-9a-f]+:	2020 7000 	ldm	s0,0\(zero\)
   7184 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   7185 [ 0-9a-f]+:	2021 7fff 	ldm	s0,-1\(at\)
   7186 [ 0-9a-f]+:	303d 8000 	addiu	at,sp,-32768
   7187 [ 0-9a-f]+:	2021 7000 	ldm	s0,0\(at\)
   7188 [ 0-9a-f]+:	303d 7fff 	addiu	at,sp,32767
   7189 [ 0-9a-f]+:	2021 7000 	ldm	s0,0\(at\)
   7190 [ 0-9a-f]+:	203d 7000 	ldm	s0,0\(sp\)
   7191 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   7192 [ 0-9a-f]+:	03a1 0950 	addu	at,at,sp
   7193 [ 0-9a-f]+:	2021 7fff 	ldm	s0,-1\(at\)
   7194 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   7195 [ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
   7196 [ 0-9a-f]+:	03a1 0950 	addu	at,at,sp
   7197 [ 0-9a-f]+:	2021 7678 	ldm	s0,1656\(at\)
   7198 [ 0-9a-f]+:	2040 4000 	ldp	v0,0\(zero\)
   7199 [ 0-9a-f]+:	2040 4004 	ldp	v0,4\(zero\)
   7200 [ 0-9a-f]+:	205d 4000 	ldp	v0,0\(sp\)
   7201 [ 0-9a-f]+:	205d 4000 	ldp	v0,0\(sp\)
   7202 [ 0-9a-f]+:	2043 4800 	ldp	v0,-2048\(v1\)
   7203 [ 0-9a-f]+:	2043 47ff 	ldp	v0,2047\(v1\)
   7204 [ 0-9a-f]+:	3023 8000 	addiu	at,v1,-32768
   7205 [ 0-9a-f]+:	2041 4000 	ldp	v0,0\(at\)
   7206 [ 0-9a-f]+:	3023 7fff 	addiu	at,v1,32767
   7207 [ 0-9a-f]+:	2041 4000 	ldp	v0,0\(at\)
   7208 [ 0-9a-f]+:	2043 4000 	ldp	v0,0\(v1\)
   7209 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   7210 [ 0-9a-f]+:	0061 0950 	addu	at,at,v1
   7211 [ 0-9a-f]+:	2041 4fff 	ldp	v0,-1\(at\)
   7212 [ 0-9a-f]+:	3060 8000 	li	v1,-32768
   7213 [ 0-9a-f]+:	2043 4000 	ldp	v0,0\(v1\)
   7214 [ 0-9a-f]+:	3060 7fff 	li	v1,32767
   7215 [ 0-9a-f]+:	2043 4000 	ldp	v0,0\(v1\)
   7216 [ 0-9a-f]+:	41a3 0001 	lui	v1,0x1
   7217 [ 0-9a-f]+:	2043 4fff 	ldp	v0,-1\(v1\)
   7218 [ 0-9a-f]+:	41a3 1234 	lui	v1,0x1234
   7219 [ 0-9a-f]+:	5063 5000 	ori	v1,v1,0x5000
   7220 [ 0-9a-f]+:	2043 4678 	ldp	v0,1656\(v1\)
   7221 [ 0-9a-f]+:	2020 f000 	sdm	s0,0\(zero\)
   7222 [ 0-9a-f]+:	2020 f004 	sdm	s0,4\(zero\)
   7223 [ 0-9a-f]+:	2025 f000 	sdm	s0,0\(a1\)
   7224 [ 0-9a-f]+:	2025 f7ff 	sdm	s0,2047\(a1\)
   7225 [ 0-9a-f]+:	2045 f7ff 	sdm	s0-s1,2047\(a1\)
   7226 [ 0-9a-f]+:	2065 f7ff 	sdm	s0-s2,2047\(a1\)
   7227 [ 0-9a-f]+:	2085 f7ff 	sdm	s0-s3,2047\(a1\)
   7228 [ 0-9a-f]+:	20a5 f7ff 	sdm	s0-s4,2047\(a1\)
   7229 [ 0-9a-f]+:	20c5 f7ff 	sdm	s0-s5,2047\(a1\)
   7230 [ 0-9a-f]+:	20e5 f7ff 	sdm	s0-s6,2047\(a1\)
   7231 [ 0-9a-f]+:	2105 f7ff 	sdm	s0-s7,2047\(a1\)
   7232 [ 0-9a-f]+:	2125 f7ff 	sdm	s0-s7,s8,2047\(a1\)
   7233 [ 0-9a-f]+:	2205 f7ff 	sdm	ra,2047\(a1\)
   7234 [ 0-9a-f]+:	2225 f000 	sdm	s0,ra,0\(a1\)
   7235 [ 0-9a-f]+:	2245 f000 	sdm	s0-s1,ra,0\(a1\)
   7236 [ 0-9a-f]+:	2265 f000 	sdm	s0-s2,ra,0\(a1\)
   7237 [ 0-9a-f]+:	2285 f000 	sdm	s0-s3,ra,0\(a1\)
   7238 [ 0-9a-f]+:	22a5 f000 	sdm	s0-s4,ra,0\(a1\)
   7239 [ 0-9a-f]+:	22c5 f000 	sdm	s0-s5,ra,0\(a1\)
   7240 [ 0-9a-f]+:	22e5 f000 	sdm	s0-s6,ra,0\(a1\)
   7241 [ 0-9a-f]+:	2305 f000 	sdm	s0-s7,ra,0\(a1\)
   7242 [ 0-9a-f]+:	2325 f000 	sdm	s0-s7,s8,ra,0\(a1\)
   7243 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   7244 [ 0-9a-f]+:	2021 f000 	sdm	s0,0\(at\)
   7245 [ 0-9a-f]+:	3020 7fff 	li	at,32767
   7246 [ 0-9a-f]+:	2021 f000 	sdm	s0,0\(at\)
   7247 [ 0-9a-f]+:	2020 f000 	sdm	s0,0\(zero\)
   7248 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   7249 [ 0-9a-f]+:	2021 ffff 	sdm	s0,-1\(at\)
   7250 [ 0-9a-f]+:	303d 8000 	addiu	at,sp,-32768
   7251 [ 0-9a-f]+:	2021 f000 	sdm	s0,0\(at\)
   7252 [ 0-9a-f]+:	303d 7fff 	addiu	at,sp,32767
   7253 [ 0-9a-f]+:	2021 f000 	sdm	s0,0\(at\)
   7254 [ 0-9a-f]+:	203d f000 	sdm	s0,0\(sp\)
   7255 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   7256 [ 0-9a-f]+:	03a1 0950 	addu	at,at,sp
   7257 [ 0-9a-f]+:	2021 ffff 	sdm	s0,-1\(at\)
   7258 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   7259 [ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
   7260 [ 0-9a-f]+:	03a1 0950 	addu	at,at,sp
   7261 [ 0-9a-f]+:	2021 f678 	sdm	s0,1656\(at\)
   7262 [ 0-9a-f]+:	2040 c000 	sdp	v0,0\(zero\)
   7263 [ 0-9a-f]+:	2040 c004 	sdp	v0,4\(zero\)
   7264 [ 0-9a-f]+:	205d c000 	sdp	v0,0\(sp\)
   7265 [ 0-9a-f]+:	205d c000 	sdp	v0,0\(sp\)
   7266 [ 0-9a-f]+:	2043 c800 	sdp	v0,-2048\(v1\)
   7267 [ 0-9a-f]+:	2043 c7ff 	sdp	v0,2047\(v1\)
   7268 [ 0-9a-f]+:	3023 8000 	addiu	at,v1,-32768
   7269 [ 0-9a-f]+:	2041 c000 	sdp	v0,0\(at\)
   7270 [ 0-9a-f]+:	3023 7fff 	addiu	at,v1,32767
   7271 [ 0-9a-f]+:	2041 c000 	sdp	v0,0\(at\)
   7272 [ 0-9a-f]+:	2043 c000 	sdp	v0,0\(v1\)
   7273 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   7274 [ 0-9a-f]+:	0061 0950 	addu	at,at,v1
   7275 [ 0-9a-f]+:	2041 cfff 	sdp	v0,-1\(at\)
   7276 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   7277 [ 0-9a-f]+:	2041 c000 	sdp	v0,0\(at\)
   7278 [ 0-9a-f]+:	3020 7fff 	li	at,32767
   7279 [ 0-9a-f]+:	2041 c000 	sdp	v0,0\(at\)
   7280 [ 0-9a-f]+:	41a1 0001 	lui	at,0x1
   7281 [ 0-9a-f]+:	2041 cfff 	sdp	v0,-1\(at\)
   7282 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   7283 [ 0-9a-f]+:	5021 5000 	ori	at,at,0x5000
   7284 [ 0-9a-f]+:	2041 c678 	sdp	v0,1656\(at\)
   7285 [ 0-9a-f]+:	6060 4000 	ldl	v1,0\(zero\)
   7286 [ 0-9a-f]+:	6060 5007 	ldr	v1,7\(zero\)
   7287 [ 0-9a-f]+:	6060 4000 	ldl	v1,0\(zero\)
   7288 [ 0-9a-f]+:	6060 5007 	ldr	v1,7\(zero\)
   7289 [ 0-9a-f]+:	6060 4004 	ldl	v1,4\(zero\)
   7290 [ 0-9a-f]+:	6060 500b 	ldr	v1,11\(zero\)
   7291 [ 0-9a-f]+:	6060 4004 	ldl	v1,4\(zero\)
   7292 [ 0-9a-f]+:	6060 500b 	ldr	v1,11\(zero\)
   7293 [ 0-9a-f]+:	3020 07ff 	li	at,2047
   7294 [ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
   7295 [ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
   7296 [ 0-9a-f]+:	6060 4800 	ldl	v1,-2048\(zero\)
   7297 [ 0-9a-f]+:	6060 5807 	ldr	v1,-2041\(zero\)
   7298 [ 0-9a-f]+:	3020 0800 	li	at,2048
   7299 [ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
   7300 [ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
   7301 [ 0-9a-f]+:	3020 f7ff 	li	at,-2049
   7302 [ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
   7303 [ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
   7304 [ 0-9a-f]+:	3020 7ff1 	li	at,32753
   7305 [ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
   7306 [ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
   7307 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   7308 [ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
   7309 [ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
   7310 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
   7311 [ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
   7312 [ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
   7313 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   7314 [ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
   7315 [ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
   7316 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   7317 [ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
   7318 [ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
   7319 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   7320 [ 0-9a-f]+:	5021 0001 	ori	at,at,0x1
   7321 [ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
   7322 [ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
   7323 [ 0-9a-f]+:	3020 8001 	li	at,-32767
   7324 [ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
   7325 [ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
   7326 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   7327 [ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
   7328 [ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
   7329 [ 0-9a-f]+:	6060 4fff 	ldl	v1,-1\(zero\)
   7330 [ 0-9a-f]+:	6060 5006 	ldr	v1,6\(zero\)
   7331 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   7332 [ 0-9a-f]+:	5021 5678 	ori	at,at,0x5678
   7333 [ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
   7334 [ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
   7335 [ 0-9a-f]+:	6064 4000 	ldl	v1,0\(a0\)
   7336 [ 0-9a-f]+:	6064 5007 	ldr	v1,7\(a0\)
   7337 [ 0-9a-f]+:	6064 4004 	ldl	v1,4\(a0\)
   7338 [ 0-9a-f]+:	6064 500b 	ldr	v1,11\(a0\)
   7339 [ 0-9a-f]+:	3024 07ff 	addiu	at,a0,2047
   7340 [ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
   7341 [ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
   7342 [ 0-9a-f]+:	6064 4800 	ldl	v1,-2048\(a0\)
   7343 [ 0-9a-f]+:	6064 5807 	ldr	v1,-2041\(a0\)
   7344 [ 0-9a-f]+:	3024 0800 	addiu	at,a0,2048
   7345 [ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
   7346 [ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
   7347 [ 0-9a-f]+:	3024 f7ff 	addiu	at,a0,-2049
   7348 [ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
   7349 [ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
   7350 [ 0-9a-f]+:	3024 7ff1 	addiu	at,a0,32753
   7351 [ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
   7352 [ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
   7353 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   7354 [ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
   7355 [ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
   7356 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
   7357 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   7358 [ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
   7359 [ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
   7360 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   7361 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   7362 [ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
   7363 [ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
   7364 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   7365 [ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
   7366 [ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
   7367 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   7368 [ 0-9a-f]+:	5021 0001 	ori	at,at,0x1
   7369 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   7370 [ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
   7371 [ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
   7372 [ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
   7373 [ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
   7374 [ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
   7375 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   7376 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   7377 [ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
   7378 [ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
   7379 [ 0-9a-f]+:	6064 4fff 	ldl	v1,-1\(a0\)
   7380 [ 0-9a-f]+:	6064 5006 	ldr	v1,6\(a0\)
   7381 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   7382 [ 0-9a-f]+:	5021 5678 	ori	at,at,0x5678
   7383 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   7384 [ 0-9a-f]+:	6061 4000 	ldl	v1,0\(at\)
   7385 [ 0-9a-f]+:	6061 5007 	ldr	v1,7\(at\)
   7386 [ 0-9a-f]+:	6060 c000 	sdl	v1,0\(zero\)
   7387 [ 0-9a-f]+:	6060 d007 	sdr	v1,7\(zero\)
   7388 [ 0-9a-f]+:	6060 c000 	sdl	v1,0\(zero\)
   7389 [ 0-9a-f]+:	6060 d007 	sdr	v1,7\(zero\)
   7390 [ 0-9a-f]+:	6060 c004 	sdl	v1,4\(zero\)
   7391 [ 0-9a-f]+:	6060 d00b 	sdr	v1,11\(zero\)
   7392 [ 0-9a-f]+:	6060 c004 	sdl	v1,4\(zero\)
   7393 [ 0-9a-f]+:	6060 d00b 	sdr	v1,11\(zero\)
   7394 [ 0-9a-f]+:	3020 07ff 	li	at,2047
   7395 [ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
   7396 [ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
   7397 [ 0-9a-f]+:	6060 c800 	sdl	v1,-2048\(zero\)
   7398 [ 0-9a-f]+:	6060 d807 	sdr	v1,-2041\(zero\)
   7399 [ 0-9a-f]+:	3020 0800 	li	at,2048
   7400 [ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
   7401 [ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
   7402 [ 0-9a-f]+:	3020 f7ff 	li	at,-2049
   7403 [ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
   7404 [ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
   7405 [ 0-9a-f]+:	3020 7ff1 	li	at,32753
   7406 [ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
   7407 [ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
   7408 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   7409 [ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
   7410 [ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
   7411 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
   7412 [ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
   7413 [ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
   7414 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   7415 [ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
   7416 [ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
   7417 [ 0-9a-f]+:	3020 8000 	li	at,-32768
   7418 [ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
   7419 [ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
   7420 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   7421 [ 0-9a-f]+:	5021 0001 	ori	at,at,0x1
   7422 [ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
   7423 [ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
   7424 [ 0-9a-f]+:	3020 8001 	li	at,-32767
   7425 [ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
   7426 [ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
   7427 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   7428 [ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
   7429 [ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
   7430 [ 0-9a-f]+:	6060 cfff 	sdl	v1,-1\(zero\)
   7431 [ 0-9a-f]+:	6060 d006 	sdr	v1,6\(zero\)
   7432 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   7433 [ 0-9a-f]+:	5021 5678 	ori	at,at,0x5678
   7434 [ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
   7435 [ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
   7436 [ 0-9a-f]+:	6064 c000 	sdl	v1,0\(a0\)
   7437 [ 0-9a-f]+:	6064 d007 	sdr	v1,7\(a0\)
   7438 [ 0-9a-f]+:	6064 c004 	sdl	v1,4\(a0\)
   7439 [ 0-9a-f]+:	6064 d00b 	sdr	v1,11\(a0\)
   7440 [ 0-9a-f]+:	3024 07ff 	addiu	at,a0,2047
   7441 [ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
   7442 [ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
   7443 [ 0-9a-f]+:	6064 c800 	sdl	v1,-2048\(a0\)
   7444 [ 0-9a-f]+:	6064 d807 	sdr	v1,-2041\(a0\)
   7445 [ 0-9a-f]+:	3024 0800 	addiu	at,a0,2048
   7446 [ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
   7447 [ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
   7448 [ 0-9a-f]+:	3024 f7ff 	addiu	at,a0,-2049
   7449 [ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
   7450 [ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
   7451 [ 0-9a-f]+:	3024 7ff1 	addiu	at,a0,32753
   7452 [ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
   7453 [ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
   7454 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   7455 [ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
   7456 [ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
   7457 [ 0-9a-f]+:	5020 ffff 	li	at,0xffff
   7458 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   7459 [ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
   7460 [ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
   7461 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   7462 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   7463 [ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
   7464 [ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
   7465 [ 0-9a-f]+:	3024 8000 	addiu	at,a0,-32768
   7466 [ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
   7467 [ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
   7468 [ 0-9a-f]+:	41a1 ffff 	lui	at,0xffff
   7469 [ 0-9a-f]+:	5021 0001 	ori	at,at,0x1
   7470 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   7471 [ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
   7472 [ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
   7473 [ 0-9a-f]+:	3024 8001 	addiu	at,a0,-32767
   7474 [ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
   7475 [ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
   7476 [ 0-9a-f]+:	41a1 f000 	lui	at,0xf000
   7477 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   7478 [ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
   7479 [ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
   7480 [ 0-9a-f]+:	6064 cfff 	sdl	v1,-1\(a0\)
   7481 [ 0-9a-f]+:	6064 d006 	sdr	v1,6\(a0\)
   7482 [ 0-9a-f]+:	41a1 1234 	lui	at,0x1234
   7483 [ 0-9a-f]+:	5021 5678 	ori	at,at,0x5678
   7484 [ 0-9a-f]+:	0081 0950 	addu	at,at,a0
   7485 [ 0-9a-f]+:	6061 c000 	sdl	v1,0\(at\)
   7486 [ 0-9a-f]+:	6061 d007 	sdr	v1,7\(at\)
   7487 [ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
   7488 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   7489 [ 0-9a-f]+:	6201 4000 	ldl	s0,0\(at\)
   7490 [ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
   7491 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   7492 [ 0-9a-f]+:	6201 5000 	ldr	s0,0\(at\)
   7493 [ 0-9a-f]+:	3203 0000 	addiu	s0,v1,0
   7494 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   7495 [ 0-9a-f]+:	6210 7000 	lld	s0,0\(s0\)
   7496 [ 0-9a-f]+:	3203 0000 	addiu	s0,v1,0
   7497 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   7498 [ 0-9a-f]+:	6210 e000 	lwu	s0,0\(s0\)
   7499 [ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
   7500 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   7501 [ 0-9a-f]+:	6201 f000 	scd	s0,0\(at\)
   7502 [ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
   7503 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   7504 [ 0-9a-f]+:	6201 c000 	sdl	s0,0\(at\)
   7505 [ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
   7506 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   7507 [ 0-9a-f]+:	6201 d000 	sdr	s0,0\(at\)
   7508 [ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
   7509 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   7510 [ 0-9a-f]+:	2021 7000 	ldm	s0,0\(at\)
   7511 [ 0-9a-f]+:	3223 0000 	addiu	s1,v1,0
   7512 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   7513 [ 0-9a-f]+:	2211 4000 	ldp	s0,0\(s1\)
   7514 [ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
   7515 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   7516 [ 0-9a-f]+:	2021 f000 	sdm	s0,0\(at\)
   7517 [ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
   7518 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   7519 [ 0-9a-f]+:	2201 c000 	sdp	s0,0\(at\)
   7520 [ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
   7521 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   7522 [ 0-9a-f]+:	2201 2000 	ldc2	\$16,0\(at\)
   7523 [ 0-9a-f]+:	3023 0000 	addiu	at,v1,0
   7524 [ 	]*[0-9a-f]+: R_MICROMIPS_LO16	test
   7525 [ 0-9a-f]+:	2201 a000 	sdc2	\$16,0\(at\)
   7526 
   7527 [0-9a-f]+ <test_delay_slot>:
   7528 [ 0-9a-f]+:	4060 fffe 	bal	[0-9a-f]+ <test_delay_slot>
   7529 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test_delay_slot
   7530 [ 0-9a-f]+:	0000 0000 	nop
   7531 [ 0-9a-f]+:	4063 fffe 	bgezal	v1,[0-9a-f]+ <test_delay_slot\+0x[0-9a-f]+>
   7532 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test_delay_slot
   7533 [ 0-9a-f]+:	0000 0000 	nop
   7534 [ 0-9a-f]+:	4023 fffe 	bltzal	v1,[0-9a-f]+ <test_delay_slot\+0x[0-9a-f]+>
   7535 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test_delay_slot
   7536 [ 0-9a-f]+:	0000 0000 	nop
   7537 [ 0-9a-f]+:	4263 fffe 	bgezals	v1,[0-9a-f]+ <test_delay_slot\+0x[0-9a-f]+>
   7538 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test_delay_slot
   7539 [ 0-9a-f]+:	0c00      	nop
   7540 [ 0-9a-f]+:	4223 fffe 	bltzals	v1,[0-9a-f]+ <test_delay_slot\+0x[0-9a-f]+>
   7541 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test_delay_slot
   7542 [ 0-9a-f]+:	0c00      	nop
   7543 [ 0-9a-f]+:	f400 0000 	jal	[0-9a-f]+ <test>
   7544 [ 	]*[0-9a-f]+: R_MICROMIPS_26_S1	test_delay_slot
   7545 [ 0-9a-f]+:	0000 0000 	nop
   7546 [ 0-9a-f]+:	f000 0000 	jalx	[0-9a-f]+ <test>
   7547 [ 	]*[0-9a-f]+: R_MICROMIPS_26_S1	test_delay_slot
   7548 [ 0-9a-f]+:	0000 0000 	nop
   7549 [ 0-9a-f]+:	45c2      	jalr	v0
   7550 [ 0-9a-f]+:	0000 0000 	nop
   7551 [ 0-9a-f]+:	03e2 0f3c 	jalr	v0
   7552 [ 0-9a-f]+:	0000 0000 	nop
   7553 [ 0-9a-f]+:	4582      	jr	v0
   7554 [ 0-9a-f]+:	0c00      	nop
   7555 [ 0-9a-f]+:	0002 0f3c 	jr	v0
   7556 [ 0-9a-f]+:	0000 0000 	nop
   7557 [ 0-9a-f]+:	03e2 1f3c 	jalr\.hb	v0
   7558 [ 0-9a-f]+:	0000 0000 	nop
   7559 [ 0-9a-f]+:	0002 1f3c 	jr\.hb	v0
   7560 [ 0-9a-f]+:	0000 0000 	nop
   7561 [ 0-9a-f]+:	7400 0000 	jals	[0-9a-f]+ <test>
   7562 [ 	]*[0-9a-f]+: R_MICROMIPS_26_S1	test_delay_slot
   7563 [ 0-9a-f]+:	0c00      	nop
   7564 [ 0-9a-f]+:	45e2      	jalrs	v0
   7565 [ 0-9a-f]+:	0c00      	nop
   7566 [ 0-9a-f]+:	03e2 4f3c 	jalrs	v0
   7567 [ 0-9a-f]+:	0c00      	nop
   7568 [ 0-9a-f]+:	0002 4f3c 	jrs	v0
   7569 [ 0-9a-f]+:	0c00      	nop
   7570 [ 0-9a-f]+:	03e2 5f3c 	jalrs\.hb	v0
   7571 [ 0-9a-f]+:	0c00      	nop
   7572 [ 0-9a-f]+:	0002 5f3c 	jrs\.hb	v0
   7573 [ 0-9a-f]+:	0c00      	nop
   7574 
   7575 [0-9a-f]+ <test_spec102>:
   7576 [ 0-9a-f]+:	6540      	lw	v0,-256\(gp\)
   7577 [ 0-9a-f]+:	65c0      	lw	v1,-256\(gp\)
   7578 [ 0-9a-f]+:	6640      	lw	a0,-256\(gp\)
   7579 [ 0-9a-f]+:	66c0      	lw	a1,-256\(gp\)
   7580 [ 0-9a-f]+:	6740      	lw	a2,-256\(gp\)
   7581 [ 0-9a-f]+:	67c0      	lw	a3,-256\(gp\)
   7582 [ 0-9a-f]+:	6440      	lw	s0,-256\(gp\)
   7583 [ 0-9a-f]+:	64c0      	lw	s1,-256\(gp\)
   7584 [ 0-9a-f]+:	64c1      	lw	s1,-252\(gp\)
   7585 [ 0-9a-f]+:	64ff      	lw	s1,-4\(gp\)
   7586 [ 0-9a-f]+:	6480      	lw	s1,0\(gp\)
   7587 [ 0-9a-f]+:	6481      	lw	s1,4\(gp\)
   7588 [ 0-9a-f]+:	64be      	lw	s1,248\(gp\)
   7589 [ 0-9a-f]+:	64bf      	lw	s1,252\(gp\)
   7590 [ 0-9a-f]+:	fe3c 0100 	lw	s1,256\(gp\)
   7591 [ 0-9a-f]+:	fe3c fefc 	lw	s1,-260\(gp\)
   7592 [ 0-9a-f]+:	fe3c 0001 	lw	s1,1\(gp\)
   7593 [ 0-9a-f]+:	fe3c 0002 	lw	s1,2\(gp\)
   7594 [ 0-9a-f]+:	fe3c 0003 	lw	s1,3\(gp\)
   7595 [ 0-9a-f]+:	fe3c ffff 	lw	s1,-1\(gp\)
   7596 [ 0-9a-f]+:	fe3c fffe 	lw	s1,-2\(gp\)
   7597 [ 0-9a-f]+:	fe3c fffd 	lw	s1,-3\(gp\)
   7598 [ 0-9a-f]+:	fe3b 0000 	lw	s1,0\(k1\)
   7599 [ 0-9a-f]+:	7900 0000 	addiu	v0,\$pc,0
   7600 [ 0-9a-f]+:	7980 0000 	addiu	v1,\$pc,0
   7601 [ 0-9a-f]+:	7a00 0000 	addiu	a0,\$pc,0
   7602 [ 0-9a-f]+:	7a80 0000 	addiu	a1,\$pc,0
   7603 [ 0-9a-f]+:	7b00 0000 	addiu	a2,\$pc,0
   7604 [ 0-9a-f]+:	7b80 0000 	addiu	a3,\$pc,0
   7605 [ 0-9a-f]+:	7800 0000 	addiu	s0,\$pc,0
   7606 [ 0-9a-f]+:	7880 0000 	addiu	s1,\$pc,0
   7607 [ 0-9a-f]+:	78bf ffff 	addiu	s1,\$pc,16777212
   7608 [ 0-9a-f]+:	78c0 0000 	addiu	s1,\$pc,-16777216
   7609 [ 0-9a-f]+:	7900 0000 	addiu	v0,\$pc,0
   7610 [ 0-9a-f]+:	7980 0000 	addiu	v1,\$pc,0
   7611 [ 0-9a-f]+:	7a00 0000 	addiu	a0,\$pc,0
   7612 [ 0-9a-f]+:	7a80 0000 	addiu	a1,\$pc,0
   7613 [ 0-9a-f]+:	7b00 0000 	addiu	a2,\$pc,0
   7614 [ 0-9a-f]+:	7b80 0000 	addiu	a3,\$pc,0
   7615 [ 0-9a-f]+:	7800 0000 	addiu	s0,\$pc,0
   7616 [ 0-9a-f]+:	7880 0000 	addiu	s1,\$pc,0
   7617 [ 0-9a-f]+:	78bf ffff 	addiu	s1,\$pc,16777212
   7618 [ 0-9a-f]+:	78c0 0000 	addiu	s1,\$pc,-16777216
   7619 
   7620 [0-9a-f]+ <test_spec107>:
   7621 [ 0-9a-f]+:	8400      	movep	a1,a2,zero,zero
   7622 [ 0-9a-f]+:	8480      	movep	a1,a3,zero,zero
   7623 [ 0-9a-f]+:	8500      	movep	a2,a3,zero,zero
   7624 [ 0-9a-f]+:	8580      	movep	a0,s5,zero,zero
   7625 [ 0-9a-f]+:	8600      	movep	a0,s6,zero,zero
   7626 [ 0-9a-f]+:	8680      	movep	a0,a1,zero,zero
   7627 [ 0-9a-f]+:	8700      	movep	a0,a2,zero,zero
   7628 [ 0-9a-f]+:	8780      	movep	a0,a3,zero,zero
   7629 [ 0-9a-f]+:	8782      	movep	a0,a3,s1,zero
   7630 [ 0-9a-f]+:	8784      	movep	a0,a3,v0,zero
   7631 [ 0-9a-f]+:	8786      	movep	a0,a3,v1,zero
   7632 [ 0-9a-f]+:	8788      	movep	a0,a3,s0,zero
   7633 [ 0-9a-f]+:	878a      	movep	a0,a3,s2,zero
   7634 [ 0-9a-f]+:	878c      	movep	a0,a3,s3,zero
   7635 [ 0-9a-f]+:	878e      	movep	a0,a3,s4,zero
   7636 [ 0-9a-f]+:	879e      	movep	a0,a3,s4,s1
   7637 [ 0-9a-f]+:	87ae      	movep	a0,a3,s4,v0
   7638 [ 0-9a-f]+:	87be      	movep	a0,a3,s4,v1
   7639 [ 0-9a-f]+:	87ce      	movep	a0,a3,s4,s0
   7640 [ 0-9a-f]+:	87de      	movep	a0,a3,s4,s2
   7641 [ 0-9a-f]+:	87ee      	movep	a0,a3,s4,s3
   7642 [ 0-9a-f]+:	87fe      	movep	a0,a3,s4,s4
   7643 [ 0-9a-f]+:	4260 fffe 	bals	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   7644 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test_spec107
   7645 [ 0-9a-f]+:	0c00      	nop
   7646 [ 0-9a-f]+:	4262 fffe 	bgezals	v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   7647 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test_spec107
   7648 [ 0-9a-f]+:	0c00      	nop
   7649 [ 0-9a-f]+:	4222 fffe 	bltzals	v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   7650 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test_spec107
   7651 [ 0-9a-f]+:	0c00      	nop
   7652 [ 0-9a-f]+:	4060 fffe 	bal	[0-9a-f]+ <.*\+0x[0-9a-f]+>
   7653 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test_spec107
   7654 [ 0-9a-f]+:	0000 0000 	nop
   7655 [ 0-9a-f]+:	4062 fffe 	bgezal	v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   7656 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test_spec107
   7657 [ 0-9a-f]+:	0000 0000 	nop
   7658 [ 0-9a-f]+:	4022 fffe 	bltzal	v0,[0-9a-f]+ <.*\+0x[0-9a-f]+>
   7659 [ 	]*[0-9a-f]+: R_MICROMIPS_PC16_S1	test_spec107
   7660 [ 0-9a-f]+:	0000 0000 	nop
   7661 #pass
   7662